VHDL Tutorial Chapter 8
VHDL Tutorial Chapter 8
(1)
Design Units
Basic unit of VHDL programming is a design unit which is one of the following
package header
entity binding
configuration
package body
(2)
Name Spaces
Multiple instances of a name can exist
Local names are the default Provide the full path name for a name
Visibility of names
Visibility of a name follows the hierarchy
Declared in a package all design units that use the package Entity in architectures used for this entity Architecture processes used in the architecture Process in the process Subprogram subprogram
(3)
Design File
VHDL Analyzer
Library WORK
full_adder vhd .
Library STD
textio.vhd
Library IEEE
std_logic_11 64.vhd
half_adder vhd .
standard .vhd
.....
Sources and analyzed design units
Design unit names are used to construct intermediate file names The libraries WORK and STD
(4)
Project Management
Use packages to separate synthesizable from simulatable Change secondary design units without recompilation of the design hieararchy Use several configurations
Record/compare different architectures
(5)
architecture structural of full_adder is component half_adder is port (a, b : in std_logic; sum, carry : out std_logic); end component half_adder; component or_2 is port (a, b : in std_logic; c : out std_logic); end component or_2; signal s1, s2, s3 : std_logic; begin H1: half_adder port map (a => In1, b => In2, sum => s1, carry=> s3); H2: half_adder port map (a => s1, b => c_in, sum => sum, carry => s2); O1: or_2 port map (a => s2, b => s3, c => c_out); end architecture structural;
(6)
Compilation Dependencies
entity board is port (.
dependency
Micro 3284
(7)
s2
s4
s6
Of declarations
Generics and type checking
(8)
Simulation
Discrete event simulation Two step model of time
Set net values Execute all affected processes and schedule new values for nets
(9)