Binary To Gray Converter VHDL Code Using Structural Modeling
Binary To Gray Converter VHDL Code Using Structural Modeling
Library declaration
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
--------------------------------------------------------entity B_G is
Port ( bin: in std_logic_vector (1 downto 0 );
gray: out std_logic_vector (3 downto 0);
end B_G;
Entity declaration.
bin :- input port bits.(code that will be
converted in to its equivalent gray
representation.)
gray: - output port bits. (Converted code)
RTL VIEW:-
INFOOP2R.WIX.COM/OP2R