Manipulation Synthese VHDL TP en XILINX
Manipulation Synthese VHDL TP en XILINX
Manipulation Synthese VHDL TP en XILINX
Rendu
TP N°1
Le but de ce TP est l’exploration de l’environnement ISE ainsi que la
découverte de flot de conception sur FPGA en utilisant des
applications de base.
ISIMM 2014/2015
BEDOUI Nidhal
HAMDI AmenAllah
Table des matières
Simulation
temporelle
Configuration du
bitstream sur
FPGA
Pg. 02
Application
Mode d’exploitation de l’outil ISE :
3- On appuie sur le bouton Next, une nouvelle fenêtre s’ouvre dans laquelle on choisit les
caractéristiques de la cible d’implémentation.
Pg. 04
-- Company:
-- Engineer:
-- Design Name:
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Additional Comments:
----------------------------------------------------------------------------------
Library IEEE;
Use IEEE.STD_LOGIC_1164.ALL;
Entity additionneur is
cin : in STD_LOGIC;
clk : in STD_LOGIC;
end additionneur;
Begin
Pg. 06
end Behavioral;
Test Bench
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Design Name:
-- Target Device:
-- Tool versions:
-- Description:
--
--
-- Dependencies:
--
-- Revision:
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
Pg. 09
--USE ieee.numeric_std.ALL;
ENTITY application_tb IS
END application_tb;
COMPONENT application1
PORT(
x : IN std_logic;
y : IN std_logic;
cin : IN std_logic;
);
END COMPONENT;
--Inputs
Pg. 10
--Outputs
--
BEGIN
x => x,
y => y,
);
Pg. 11
-- -- <clock>_process :process
-- begin
-- end process;
--
--
-- -- Stimulus process
-- stim_proc: process
-- begin
--
--
--
-- wait;
-- end process;
END;
2èm méthode
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
Pg. 13
-- Design Name:
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
--use IEEE.NUMERIC_STD.ALL;
--library UNISIM;
--use UNISIM.VComponents.all;
entity application1 is
Port ( x : in STD_LOGIC;
y : in STD_LOGIC;
cin : in STD_LOGIC;
end application1;
signal s1,s2,s3,s4:std_logic ;
component xor1 is
end component;
component and1 is
end component;
component or1 is
end component;
Pg. 15
Begin
End Behavioral ;