Lab 03 Arquitectura
Lab 03 Arquitectura
Lab 03 Arquitectura
INGENIERIA ELECTRONICA Y
TELECOMUNICACIONES
2014
MARCO TEORICO
INTRODUCCIN
Todos los circuitos digitales utilizan datos binarios para funcionar
correctamente, los circuitos estn diseados para contar, sumar, separar, etc.
los datos segn nuestras necesidades, pero por el tipo de funcionamiento de
las compuertas digitales, los datos presentes en las salidas de las mismas,
cambian de acuerdo con sus entradas, y no hay manera debitarlo, si las
entradas cambian, las salidas lo harn tambin, entonces Cmo podemos
hacer para mantener un dato o serie de datos en un lugar hasta que los
necesitemos?La respuesta son las memorias, bsicamente son sistemas que
pueden almacenar uno o ms datos evitando que se pierdan, hasta que
nosotros lo consideremos necesario, es decir, pueden variar su contenido a
nuestra voluntad.El corazn de una memoria son los Flip Flops, este circuito es
una combinacin de compuertas lgicas, A diferencia de las caractersticas de
las compuertas solas, si se unen de cierta manera, estas pueden almacenar
datos que podemos manipular con reglas preestablecidas por el circuito
mismo.Esta es la representacin general par un Flip Flop.
Los FF pueden tener varias entradas, dependiendo del tipo de las funciones
internas que realice, y tiene dos salidas:
Las salidas de los FF slo pueden tener dos estados (binario) y siempre tienen
valores contrarios, como podemos ver en la siguiente tabla:
Las entradas de un FF obligan a las salidas a conmutar hacia uno u otro estado
o hacer "flip flop" (Trmino anglosajn), ms adelante explicaremos cmo
interactan las entradas con las salidas para lograr los efectos caractersticos
de cada FF.El FF tambin es conocido como:
La siguiente tabla muestra el estado inicial del Registro Bsico NAND, cuando
sus entradas se encuentran en ALTO (Estado de reposo del FF). Para
comenzar la accin de "Flip Flop" ser necesario enviar a BAJO alguna de las
entradas, con su correspondiente cambio de estado a la salida.
La siguiente tabla nos muestra los diferentes cambios de las salidas, segn
cada seleccin de entradas (La "X" significa que no importa el estado en el que
se encuentren en ese momento):
Todos los FF cuentan con una entrada con el rtulo (RELOJ, CLOCK,
CLK, CP) y un distintivo crculo para saber como debe ser la seal activa. Los
que no tienen crculo, son sincronizados por una TPP, los que cuentan con un
crculo son sincronizados por una TPN.
Las figuras nos muestran del lado izquierdo de la lnea verde el pin de entrada
de Reloj del FF, el lado derecho nos muestra el circuito interno del FF.La
diferencia entre CK y CK se debe al retraso en la propagacin que cualquier
compuerta tiene, desde que se aplica una seal en la entrada, hasta que esta
se refleja en al salida. Esta diferencia en tiempo, nos permite obtener un pulso
de salida solamente cuando ocurre la transicin para la que estn diseados, y
por lo tanto accionar el FF.
REGISTRO BSICO NAND TIPO SNCRONO
Dejaremos de lado la conexin interna de los FF, ya que para nuestra
comodidad, todos podemos encontrarlos en forma de circuitos integrados, as
que nos ocuparemos solamente de su funcionamiento.La siguiente figura nos
muestra un Registro Bsico Sincronizado por una seal de reloj. Es la forma
ms bsica de un FF controlado por la seal de reloj. (La falta del crculo en la
entrada de CLK significa que slo ser activa en los TPP) (Slo se muestra la
salida Normal (Q), ya que como dijimos, la salida negada siempre es inversa)
SIMULACIONES
FLIP FLOP RS
PROGRAMACION VHDL
library ieee;
use ieee.std_logic_1164.all;
entity flipfloRS is port( r,s,clk :in std_logic;
q:
buffer std_logic;
notq: out std_logic
);
end flipfloRS;
architecture archiflipfloRS of flipfloRS is
begin
process (clk)
begin
if (clk'event and clk='1') then
if (r='1')and(s='0') then q<='0';
elsif (r='0')and(s='1')then q<='1';
end if;
end if;
end process;
notq<=not q;
end archiflipfloRS;
SIMULACION
FLIP FLOP D
PROGRAMACION VHDL
library ieee;
use ieee.std_logic_1164.all;
entity flipflopd is port(
d,clk: in std_logic;
q : out std_logic
);
end flipflopd;
architecture archiflipflopd of flipflopd is
begin
process (clk)
begin
if (clk'event and clk='1') then q <=d;
end if;
end process;
end archiflipflopd;
SIMULACION
FLIP FLOP JK
PROGRAMACION VHDL
library ieee;
use ieee.std_logic_1164.all;
entity flipflopJK is port(
j,k,clk: in std_logic;
q : buffer std_logic
);
end flipflopJK;
architecture archiflipflopJK of flipflopJK is
begin
process (clk)
begin
if (clk'event and clk='1') then
if (j='1') and (k='0') then q <= '0';
elsif (j='0') and (k='1') then q <= '1';
elsif (j='0') and (k='0') then q <= '0';
elsif (j='1') and (k='1') then q <= not q;
end if;
end if;
end process;
end archiflipflopJK;
SIMULACION
FLIP FLOP T
PROGRAMACION VHDL
library ieee;
use ieee.std_logic_1164.all;
entity ttf is port(
t, clk: in std_logic;
q : buffer std_logic
);
end tff;
architecture architff of tff is
begin
process (clk)
begin
if (clk'event and clk='1') then
if (t='1') then q <=not(q);
else q <= q;
end if;
end process;
end architff;
SIMULACION
CONCLUSIONES
Los circuitos secuenciales requieren de las seal de reloj para producir
cambios en las salidas
Los circuitos secuenciales bsicos son los flip flops.
El comportamiento de los circuitos secuenciales puede ser expresado
utilizando tablas de comportamiento.
Cada tipo de flip flop tena diferentes seales de acuerdo a las entradas
y salidas.
Se ha utilizado el diseo de Flip-Flops con habilitador/deshabilitador
para permitir un mejor control sobre las salidas que se desean.
Mediante el programa VHDL se observo sus respectivas seales
binarias de cada flip flop.
Las tablas de verdad han sido utilizadas como herramientas para
obtener conclusiones respecto al funcionamiento u operacin de los
circuitos realizados.
Se considero que las entradas r y s deben estar en nivel alto porque el
clock debe estar en nivel bajo.
Se analizo que por cada tipo de flip flop las entradas y salidas mediante
su programacin en VHDL.