0% encontró este documento útil (0 votos)
724 vistas

Tutorial de VHDL

Este documento proporciona una introducción al lenguaje VHDL, describiendo su estructura principal, incluidas las unidades de diseño como entidades, arquitecturas y paquetes. Explica los diferentes tipos de puertos y datos, y cómo se pueden utilizar las entidades y arquitecturas para describir la interfaz y el funcionamiento interno de un diseño digital de manera jerárquica.
Derechos de autor
© Attribution Non-Commercial (BY-NC)
Formatos disponibles
Descarga como PDF, TXT o lee en línea desde Scribd
0% encontró este documento útil (0 votos)
724 vistas

Tutorial de VHDL

Este documento proporciona una introducción al lenguaje VHDL, describiendo su estructura principal, incluidas las unidades de diseño como entidades, arquitecturas y paquetes. Explica los diferentes tipos de puertos y datos, y cómo se pueden utilizar las entidades y arquitecturas para describir la interfaz y el funcionamiento interno de un diseño digital de manera jerárquica.
Derechos de autor
© Attribution Non-Commercial (BY-NC)
Formatos disponibles
Descarga como PDF, TXT o lee en línea desde Scribd
Está en la página 1/ 27

15

TUTORIAL DEL LENGUAJE VHDL

Ing. Daniel Francisco Gmez Prado [email protected]

Profesor de la Facultad de Ingeniera Electrnica, Universidad Nacional Mayor de San Marcos Lima Per

RESUMEN: El presente artculo pretende difundir los conocimientos bsicos del lenguaje VHDL (Very High Speed Integrated Circuit Hardware Description Language) diseado para la descripcin y sntesis de sistemas digitales para su implementacin en PLD. Se reduce los circuitos lgicos complejos, mquinas de estados e incluso diagrama de flujos a un cdigo sencillo y legible que puede tambin ser compilado y utilizado como librera para cualquier otro proyecto. ABSTRACT: This paper tries to diffuse the basic knowledge of the language VHDL (Very High Speed Integrated Circuit Hardware Description Language) designed for the description and synthesis of digital systems. It decreases the complex logical circuits, machines of states and diagram of flows to a simple and readable code that can also be compiled and used as bookstore for any other project. Palabras Claves: VHDL, sntesis digital, PLD.

Paquete. Las tres primeras son bsicas para la realizacin del diseo y las dos ltimas son utilizadas cuando se desean generar libreras. El diseo con VHDL, se define en dos partes: la unidad Entidad donde se define la interface exterior del diseo a manera de encapsulado y la unidad de Arquitectura donde se describe el funcionamiento interno de dicho diseo. Adems, VHDL permite definir mltiples Arquitecturas asociadas a una nica Entidad y el modelo a simular se especifica en la unidad de Configuracin indicando que dicha Arquitectura se utiliza para implementar una Entidad. Las unidades de Paquete se utilizan cuando uno de nuestros diseos es parte de otros, para ello lo empaquetamos como un solo objeto para que otros programas puedan utilizarlo directamente. 1.2 Entidad En la declaracin de Entidad se define el diseo como si fuera un producto encapsulado, indicando el nmero de pines, los puertos de entrada y salida. La Entidad puede definir bien las entradas y salidas de un circuito integrado por disear o puede definir la interface de un mdulo que ser utilizado en un diseo ms grande.

I. ESTRUCTURA DEL LENGUAJE VHDL 1.1 Unidades de Diseo en VHDL El lenguaje VHDL est estructurado en las siguientes unidades: Entidad, Arquitectura de una Entidad, Configuracin, Declaracin de Paquete y Cuerpo del

ELECTRNICA UNMSM

N.11, Agosto del 2003

16

La Entidad es la estructura que declara la interface del sistema y permite ver el diseo como una caja negra, con la cual se puede realizar diseos jerrquicos en VHDL y formar una coleccin de mdulos interconectados entre s. En VHDL estos mdulos se definen mediante la palabra clave ENTITY cuya forma general es:
ENTITY nombre IS [GENERIC (lista de parmetros)]; [PORT (lista de puertos)]; [declaraciones] [BEGIN sentencias] END [ENTITY] [nombre];

Los comentarios en VHDL se indican con un doble guin . El lenguaje VHDL no distingue las letras maysculas de las minsculas, por lo que un puerto llamado data ser equivalente a otro llamado DATA Data. Por convencin todas las palabras reservadas de VHDL se escriben en letras maysculas. El primer carcter de un puerto slo puede ser una letra, nunca un nmero. As mismo su nombre no puede contener caracteres especiales tales como $, %, ^, @, ... ni dos caracteres de subrayado seguidos.

La instruccin GENERIC, sirve para definir y declarar propiedades constantes generales tales como los tiempos de retardo. La instruccin PORT, define los puertos del mdulo que esta siendo definido en un lista que consiste en un nombre seguido por el modo del puerto (IN, OUT, etc.) y el tipo de datos de la lnea (std_logic,bit, etc). Si no se especifica el modo del puerto, el compilador de VHDL supone que se trata del modo IN por defecto

1.2.1 Tipos de Puertos Los puertos en VHDL son anlogos a los pines de conexin de un smbolo esquemtico y toda seal declarada en la Entidad debe tener algn tipo de puerto asignado. De esta manera un puerto es un objeto de informacin utilizado entre el diseo y otros circuitos digitales como referencia a los pines de nuestro encapsulado. Los diferentes tipos de puertos describen la direccin por donde la informacin es transmitida y slo existen los siguientes tipos: IN: Las seales en este tipo de puerto solamente pueden entrar a la Entidad, se les puede leer pero no se le puede asignar ningn valor, es decir, no se puede cambiar su valor en el programa.

Figura 1 - Contador mdulo 4.


LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY Ejemplo IS GENERIC ( retardo: time:= 15 ns; max_freq: frecuency:= 50 MHz ); PORT ( clk, reset: IN std_logic; load: IN std_logic_vector(0 DOWNTO 0); data: IN std_logic_vector(0 TO 3); salida: OUT std_logic_vector(3 DOWNTO 0); carry_out: OUT std_logic); END Ejemplo;

OUT: En este tipo de puerto la seales solamente pueden salir de la Entidad. La seal de salida puede cambiar y se le puede asignar valores, pero no se puede leer. Esto es, no se permite que su valor sea usado internamente en el diseo por que el estado lgico en el que se encuentra no se puede leer. INOUT: Este tipo de puerto es usado para implementar seales bidireccionales, es decir, para permitir que por un mismo puerto la informacin fluya tanto hacia dentro como hacia afuera de la entidad.

Observar que:

ELECTRNICA UNMSM

N.11, Agosto del 2003

17

BUFFER: Es equivalente a un puerto OUT al que se le a aadido un registro, pudiendo ser ledo y usado como una realimentacin interna. Este modo de puerto slo puede ser conectado directamente a una seal interna a un puerto a modo de buffer de otra entidad. LINKAGE: Este ltimo tipo es como el INOUT, pero slo puede ser usado con elementos de tipo LINKAGE. En general se utiliza como interfase para enlazar el modelo diseado con otros mdulos tambin diseados con herramientas distintas al VHDL.

notar que este tipo fue creado para la simulacin y no siempre resultar sintetizable en un circuito digital. Enumerated: Con este tipo el usuario puede definir nuevos tipos de valores, resultando til para la codificacin de los estados en una mquina de Mealy Moore.
TYPE estado IS (Inicio, Lento, Rpido, Fin);

Si en la declaracin de un puerto no se especifica ningn tipo de puerto, se asume que es del tipo IN. 1.2.2 Tipos de Datos Asociados Aunque VHDL slo admite los cinco tipos de puertos mencionados, el tipo de dato asociado a un puerto puede ser tan variado como se desee, por que uno mismo lo puede definir. VHDL incorpora algunos tipos de datos bsicos definidos en la norma IEEE 1076/93, como son: Bolean: Puede tomar los valores de verdadero falso. Bit: Puede tomar los valores de 0 1. Bit_vector: Es un grupo de bits, donde cada uno puede tomar el valor de 0 1. El orden del bit ms significativo que integra el vector, se define segn el uso, en este caso se utiliza la palabra reservada DOWNTO TO, as por ejemplo:
SIGNAL a: bit_vector(0 TO 3); SIGNAL b: bit_vector(3 DOWNTO 0); a <= 0101; b <= 1010;

Debido a la necesidad de mejorar la simulacin de las seales elctricas, se ampli el tipo de dato bit mediante la norma IEEE 1164, en donde se define el tipo lgico estndar. Std_ulogic valores
U, X, 0, 1, Z, W L, H, -,

El cual puede tomar los siguientes

Sin inicializar Forzado a desconocido Forzado a cero Forzado a uno Alta Impedancia Desconocido dbil Cero dbil Uno dbil No Importa

a partir de este tipo std_ulogic se derivaron los siguientes tipos: Std_ulogic_vector: Es un grupo de std_ulogic. Std_logic: Es una versin de std_ulogic, el cual posee una funcin de resolucin que define lo que sucede cuando una seal es manejada por mltiples fuentes. Std_logic_vector: Es un grupo de std_logic_vector

Significa que :
a(0)=0;a(1)=1;a(2)=0;a(3)=1; MSB=a(0),LSB=a(3) b(0)=1;b(1)=0;b(2)=1;b(3)=0; MSB=b(3),LSB=a(0)

El tipo std_logic y std_logic_vector son en la actualidad el tipo lgico estndar de la industria de semiconductores y aunque todos sus valores son validos en cualquier simulador VHDL, slo los siguientes valores son reconocidos para sntesis lgica:
0, 1, Z, Forzado a cero Forzado a uno Alta Impedancia

Integer: Este tipo resulta til para manejar ndices dentro de lazos, constantes parmetros genricos como tiempos de retardo. Se debe hacer

ELECTRNICA UNMSM

N.11, Agosto del 2003

18 L, H, -, Cero dbil Uno dbil No Importa

lgica NOR que se muestra en la figura 2.


a
No r-A 1 3 2

1.3

Arquitectura

En la Arquitectura se describe el funcionamiento del mdulo definido en la Entidad; se establece el diseo real del sistema digital, indicando que hacer con cada entrada para obtener la salida. Si la Entidad es vista como una caja negra, para la cual lo nico importante son las entradas y las salidas, entonces, la Arquitectura es el conjunto de detalles interiores de la caja negra. La Declaracin de la Arquitectura de una Entidad en VHDL tiene la siguiente estructura:
ARCHITECTURE nombre OF nombre_de_entidad IS [declaraciones] BEGIN [instrucciones] END [ARCHITECTURE] [nombre];

Figura 2. Compuerta NOR


LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY NotOr IS PORT ( a: IN std_logic; b: IN std_logic; c: OUT std_logic); END NotOr; ARCHITECTURE descripcion OF NotOr IS aqu se define cualquier seal interna BEGIN c <= NOT(a OR b); END descripcion;

1.3.2 Descripcin de Estructura Este tipo de descripcin es ms parecido a un circuito esquemtico con sus conexiones de bloques a las que se les denomina componentes, los cuales son conectados y evaluados instantneamente por medio de seales. Las principales ventajas de este tipo de descripcin son: Permite que los grandes proyectos sean descompuestos en unidades funcionales simples de codificar, simular y corregir. Esta caracterstica permite disear un sistema digital a partir de las diferentes partes que lo constituyen y especifica la conexin entre estas, donde cada unidad funcional puede ser descrita especificando su comportamiento y en el caso de unidades funcionales complejas, puedan estar a su vez descrita en forma estructural como subunidades funcionales. Al establecerse un sistema jerrquico de unidades funcionales, se orienta el trabajo al uso de libreras, lo que permite volver a utilizar el cdigo elaborado (las unidades funcionales) en otros proyectos segn se necesite.

Entre los bloques ARCHITECTURE...IS y BEGIN se definen todas las seales que se usarn internamente en el diseo. Aqu tambin se definen los subprogramas, funciones y constantes a utilizar dentro de la arquitectura, en la seccin de instrucciones, que es donde se describe propiamente la funcionalidad del dispositivo. 1.3.1 Descripcin de Comportamiento Este tipo de descripcin se caracteriza por el alto nivel de abstraccin, el cual utiliza instrucciones y rdenes tpicas de un lenguaje de programacin (IF, THEN, ELSE, WHEN, etc). En este caso, la distribucin de las puertas lgicas dentro del PLD no es nuestra principal preocupacin, sino el de tener un cdigo legible que describa al sistema tal como funciona en un diagrama de flujo. Las principales ventajas de este tipo de descripcin son: Se incrementa la portabilidad del diseo, debido a que su descripcin no depende de libreras con componentes especializados. El cdigo es legible y los errores son fciles de depurar. Veamos un ejemplo de este tipo de arquitectura describiendo el comportamiento de la compuerta

As por ejemplo se implementa un latch RS utilizando la compuerta NOR descrita como la mostrada en la

ELECTRNICA UNMSM

N.11, Agosto del 2003

19

Fig. 3.
No r-1A

1 3 2

out1

No r-2A 1

out2
3

un solo diseo. La seccin de Configuracin es el nico objeto en VHDL que puede ser simulado sintetizado y aunque en el caso de simulacin se puede controlar el proceso de configuracin manualmente cuando se realiza la sntesis el compilador se utilizar para la unin del diseo las reglas establecidas en la seccin de configuracin. La estructura de la seccin de configuracin es:
CONFIGURATION nombre OF nombre_de_entidad IS FOR nombre_de_arquitectura [reglas de configuracin] END FOR; END [CONFIGURATION] [nombre];

notq

Figura 3. Latch RS
LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY Latch_RS IS PORT ( r: IN std_logic; s: IN std_logic; q: OUT std_logic; std_logic); END ejemplo; ARCHITECTURE estructura OF Latch_RS COMPONENT NotOr PORT ( a: IN std_logic; b: IN std_logic; c: OUT std_logic); END COMPONENT; SIGNAL out1: std_logic; SIGNAL out2: std_logic; BEGIN Nor1: NotOr PORT MAP ( a => b => c => Nor2: NotOr PORT MAP ( a => b => c => q <= out1; notq <= out2; END Latch_RS; IS

As para el caso de la compuerta lgica NotOr la seccin de configuracin ser:


notq: OUT CONFIGURATION config_NotOr OF NotOr IS FOR descripcion END FOR; END config_NotOr;

Como en este diseo no se declararon componentes internos, no se poseen reglas de Configuracin. Esta es la Configuracin por defecto que el compilador VHDL asignar al diseo, por lo que puede ser omitida. Para el caso del latch RS la configuracin ser:
r, out2, out1); s, out1, out2); CONFIGURATION config_Latch_RS OF Latch_RS IS FOR estructura FOR Nor1, Nor2: NotOr USE ENTITY work.NotOr(descripcion); END FOR; END FOR; END config_Latch_RS;

1.5 Paquetes y Libreras Los Paquetes permiten definir funciones, constantes y tipo de datos para ser utilizado por mltiples diseos en VHDL. De esta forma, la definiciones dadas en un paquete sern visibles a cualquier programa que utilice la orden USE con el nombre del paquete correspondiente. El paquete es compuesto por dos partes compilables, las declaraciones PACKAGE y PACKAGE BODY. La primera declaracin corresponde a la cabecera del Paquete el cual acta como la Entidad, declarando las interfases y funciones que realiza el PACKAGE BODY y la ultima declaracin acta como una Arquitectura asociada a

Observar que: El motivo por el cual no se asigna directamente los puertos de salida q y notq a los componentes, es que estos son de salida, no puedindo ser utilizados como entradas ya que no pueden ser ledos. 1.4 Configuracin En la seccin de Configuracin es donde se le indica al compilador de VHDL que una Entidad est unida a cierta Arquitectura y que ambos se unen para formar

ELECTRNICA UNMSM

N.11, Agosto del 2003

20

una Entidad. As un Paquete puede contener: Declaracin PACKAGE: Declaracin de subprogramas Declaracin de tipos Declaracin de subtipos Declaracin de componentes Declaracin de constantes

BEGIN Nor1: NotOr PORT MAP (r, out2, out1); Nor2: NotOr PORT MAP (s, out1, out2); q <= out1; notq <= out2; END estructura;

Declaracin PACKAGE BODY: Descripcin de los subprogramas Valor de las constantes

Para el caso del Latch_RS ejemplo, se puede utilizar un Paquete para declarar la componente NotOr por medio de:
PACKAGE mi_compuerta IS COMPONENT NotOr PORT (a,b: IN std_logic; c: OUT std_logic); END COMPONENT; END mi_compuerta; entonces

Observar que: La sentencia PORT MAP referencia sus puertos de acuerdo a la posicin en que aparecen, a diferencia del cdigo mostrado en Listado2 en donde sus puertos son asignados mediante el operador <=. Ya no se ha declarado el componente NotOr dentro del bloque ARCHITECTURE por que dicha declaracin se encuentra en el paquete mi_compuerta que es visible en el diseo. No es necesario definir el uso de la librera work porque esta librera se carga automticamente por defecto como parte de nuestro entorno de trabajo. La sentencia USE como se ha visto se usa para acceder a un paquete compilado de una librera y hacer su contenido visible en nuestro diseo; por lo tanto esta sentencia debe colocarse antes de la declaracin de la Entidad de la Arquitectura. Se puede seleccionar todos los tems dentro de un Paquete se puede especificar un tem particular a usar, utilizando la sintaxis:
USE nom_librera.nom_paquete.{ALL,tem};

La compilacin de este Paquete llamado mi_compuerta es aadido a la librera work del entorno VHDL. Si se desea crear un nuevo diseo Latch_RS_2 que utilice la declaracin del componente de este Paquete, se debe hacer visible en el diseo el Paquete aadiendo la sentencia USE e identificando la librera y el nombre del Paquete. Es necesario que el Paquete sea compilado antes de poder compilar el nuevo Latch_RS_2 porque la sentencia USE hace referencia a un Paquete ya existente en la librera. El cdigo de la nueva versin del latch RS se muestra a continuacin:
LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY Latch_RS_2 IS PORT ( r: IN std_logic; s: IN std_logic; q: OUT std_logic; notq:OUT std_logic); END Latch_RS_2; USE work.mi_compuerta.ALL; ARCHITECTURE estructura OF Latch_RS_2 IS SIGNAL out1: std_logic; SIGNAL out2: std_logic;

As en el ejemplo si el Paquete mi_compuerta hubiera contenido varios componentes y definiciones y slo se desea seleccionar el componente NotOr en el Latch_RS_2 nuestra declaracin, USE se cambiara por:
USE work.mi_compuerta.NotOr;

II. EJECUCIN DEL VHDL 2.1 Semntica Concurrente En VHDL las instrucciones dentro de una Arquitectura se ejecutan todas al mismo tiempo, es decir en forma concurrente, lo que permite modelar

ELECTRNICA UNMSM

N.11, Agosto del 2003

21

el hardware de un diseo como bloques de cdigo paralelo. Por ejemplo, en el anterior programa se escribi el fragmento de cdigo:
BEGIN Nor1: NotOr PORT MAP (r, out2, out1); Nor2: NotOr PORT MAP (s, out1, out2); q <= out1; notq <= out2; END estructura;

espera a que cierta condicin se cumpla para iniciar su ejecucin. La estructura de la instruccin PROCESS es:
[Etiqueta:] PROCESS [ (seal 1,...) ] [declaraciones]; BEGIN Instrucciones; END PROCESS [Etiqueta];

que define simultneamente los componentes NotOR, cuyas salidas y entradas se interconectan y ejecutan al mismo tiempo. Aunque todas las instrucciones se ejecutan de manera paralela en VHDL, existen estructuras que permiten una ejecucin interna de manera secuencial, manteniendo su ejecucin paralela con otras. Los tipos de instrucciones que se ejecutan de manera paralela son: Asignacin de seales. Bloques. Ecuaciones booleanas. Iniciacin de componentes. Llamadas a procedimientos. Procesos.

La lista sensitiva est conformada por seales separadas por comas y cuando una de las seales cambia el Proceso es invocado, para ser activada y ejecutada las sentencias correspondientes. Cuando se termina de ejecucin se espera que ocurra un nuevo cambio en la lista sensitiva. En una misma Arquitectura pueden existir varios procesos, que pueden estar ejecutndose a la vez no, dependiendo si estn activos o desactivos. La nica manera que un proceso pueda transmitir informacin a otro proceso es por medio de seales. 2.2.2 Seales Las seales en VHDL son objetos declarados al inicio de una arquitectura por medio de la palabra reservada SIGNAL y son implementadas directamente en hardware por lo que se puede considerar como una abstraccin de una conexin fsica; por lo tanto pueden servir para interconectar componentes de un circuito, sincronizar la ejecucin de un proceso transmitir informacin entre procesos. Debido a que las seales en VHDL son internas a la estructura no requieren estar asociadas a un puerto (pin de entrada salida), pero si deben estar asociadas a un tipo de dato que las defina ante el compilador. Un ejemplo de cmo definir seales se muestra a continuacin:
ARCHITECTURE primera_seal OF ejemplo IS SIGNAL direc: std_logic_vector(0 TO 11); SIGNAL dato: std_logic_vector(7 DOWNTO 0):= XF4; SIGNAL logic: bolean := true; SIGNAL i: integer; BEGIN

2.2 Semntica Secuencial La estructura que permite una ejecucin secuencial dentro de una Arquitectura es el Proceso, el cual se puede ver como un conjunto de instrucciones que se ejecutan en forma secuencial, es decir una despus de otra y que representa una sola unidad de simulacin que puede estar a su vez ejecutando en paralelo otras instrucciones. De esta manera se puede decir que las instrucciones que se ejecutan dentro de un Proceso, son evaluadas de manera secuencial y las que estn fuera son evaluadas de manera concurrente. 2.2.1 Procesos Secuenciales Los Procesos Secuenciales son iniciados con la instruccin PROCESS. Un Proceso puede estar activo desactivo, es decir puede estar ejecutndose en

Como se observa la seal puede ser inicializada en su definicin en algn valor, como en el caso de las seales dato y logic pueden ser dejadas sin inicializar y obtener posteriormente sus valores por medio del

ELECTRNICA UNMSM

N.11, Agosto del 2003

22

operador de asignacin <=. Un punto importante que se debe tener en cuenta cuando se trabaja con seales dentro de un proceso, es que debido a que los procesos, se ejecutan de manera secuencial y slo la ltima asignacin hecha a la seal, ser realizada. As por ejemplo en el siguiente cdigo:
EJM: PROCESS BEGIN a <= (c AND b) XOR d; a <= c OR b; END PROCESS EJM;

a := b AND c; : END PROCESS;

2.2.4 Instrucciones Secuenciales Son las instrucciones secunciales dentro de un proceso las que dan al VHDL la facilidad de describir el comportamiento del sistema digital de manera sencilla y ordenada siendo la sintaxis de estas instrucciones similar a las de cualquier lenguaje de alto nivel.
IF-THEN-ELSE

la primera asignacin es remplazada por la segunda, por lo cual el cdigo equivale a


EJM: PROCESS BEGIN a <= c OR b; END PROCESS EJM;

2.2.3 Variables Las variables definidas dentro de un proceso, entre las palabras reservadas PROCESS y BEGIN, son visibles slo dentro del proceso; por este motivo, no pueden ser utilizados para transmitir informacin entre procesos distintos. Por otro lado, las variables se diferencian de una seal porque sus valores son asignados inmediatamente dentro de un proceso y no al final como ocurre con el caso de las seales. Un ejemplo de cmo se definen las variables se muestra a continuacin:
ARCHITECTURE primeras_variables OF ejemplo IS BEGIN EJM: PROCESS VARIABLE contador : integer := 0; VARIABLE nible : std_logic_vector (3 DOWNTO 0); BEGIN : END PROCESS; END primeras_variables;

Este tipo de construccin es utilizada para realizar una ejecucin condicional y su estructura es igual a la de cualquier lenguaje de programacin. Como ejemplo se muestra el multiplexor 74LS157, de 8 a 4 bits, de la figura 4.

Figura 4. Multiplexor 74LS157


LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY Mux157 IS PORT( A,B : IN std_logic_vector(3 DOWNTO 0); selec Y END Mux157; : IN std_logic; : OUT std_logic_vector(3 DOWNTO 0));

Se observa que al igual que las seales, las variables pueden ser inicializadas en algn valor posteriormente asignarle un valor utilizando el operador := como se muestra a continuacin:
EJM: PROCESS VARIABLE a : std_logic; BEGIN

ARCHITECTURE secuencia OF Mux157 IS BEGIN PROCESS (A,B,selec) Lista sensitiva BEGIN IF selec = 0 THEN Y <= A; ELSE Y <= B; END IF; END PROCESS; END secuencia;

ELECTRNICA UNMSM

N.11, Agosto del 2003

23

Se debe observar que este tipo de instruccin por su naturaleza misma carece de sentido fuera de un Proceso puesto que su ejecucin se desarrolla por medio de evaluaciones secuenciales. La estructura completa de la instruccin IF es la siguiente:
IF Expresin lgica THEN instrucciones; ELSIF Expresin lgica THEN instrucciones; : ELSIF Expresin lgica THEN instrucciones; ELSE Instrucciones; END IF;

END Mux_Buffer; ARCHITECTURE secuencia OF Mux_Buffer IS SIGNAL C: std_logic; BEGIN PROC1: PROCESS (A,B,sel,en) Lista sensitiva BEGIN IF sel = 0 THEN C <= A; ELSE C <= B; END IF; Y <= (Y AND (NOT en)) OR ( C AND en); END PROCESS; END secuencia;

Con esta estructura se puede colocar las condiciones ELSIF que se desee, pudiendo realizar un sistema capaz de evaluar mltiples opciones para la ejecucin de una instruccin. En el ejemplo anterior no fue necesario definir seales ni variables para la descripcin del sistema, veamos ahora un ejemplo en donde si es necesario incluirlas como parte del proceso; para ello diseemos un multiplexor de 8 a 4 bits que posea un buffer interno y una seal de habilitacin, tal como se muestra en la figura 5.

El cdigo anterior mostrado posee un error funcional, esto es en la compilacin no se genera ningn tipo de error, pero en la simulacin el funcionamiento del circuito no ser el deseado. El error se debe a que la asignacin de la seal C <= A C <= B no tendr efecto hasta el final del proceso, por lo que en la asignacin de Y, el valor de C no ser el deseado producindose el error. Para solucionar este problema se debe considerar la asignacin de Y en:
ARCHITECTURE secuencia OF Mux_Buffer IS SIGNAL C: std_logic; BEGIN PROC1: PROCESS (A,B,sel,en) -- Lista sensitiva BEGIN IF sel = 0 THEN C <= A; ELSE C <= B; END IF; END PROCESS; C se actualiza aqu!! Y <= (Y AND (NOT en)) OR ( C AND en); END secuencia;

Segunda Solucin: (Utilizando Variables)

LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY Mux_Buffer IS PORT( A,B: IN std_logic_vector (3 DOWNTO 0); sel,en: IN std_logic; Y: OUT std_logic_vector (3 DOWNTO 0)); END Mux_Buffer;

Figura 5. Multiplexor de 8 a 4 bits con Buffer Primera Solucin: (Utilizando Seales)

LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY Mux_Buffer IS PORT( A,B: IN std_logic_vector (3 DOWNTO 0); sel,en: IN std_logic; Y: OUT std_logic_vector (3 DOWNTO 0));

ARCHITECTURE secuencia OF Mux_Buffer IS BEGIN PROCESS (A,B,sel,en) Lista sensitiva VARIABLE C: std_logic; BEGIN IF sel = 0 THEN C := A; asignacin ELSE C := B; END IF; Y <= (Y AND (NOT en)) OR ( C AND en); END PROCESS; END secuencia;

ELECTRNICA UNMSM

N.11, Agosto del 2003

24

CASE La instruccin CASE permite la ejecucin condicional de instrucciones basado en el valor de una expresin. La principal diferencia con la instruccin IF se encuentra en que CASE solamente necesita evaluar una expresin para determinar el caso a ejecutar, mientras que IF requiere seguir un proceso de evaluaciones mltiples mediante los bloques ELSIF. Lo anterior no implica que una instruccin es mejor que otra, slo establece algunas diferencias que se deben tener en cuenta durante la programacin. La estructura de una instruccin CASE es la siguiente:
CASE Expresin IS WHEN opcin 1 => instrucciones secuenciales; : WHEN opcin n => instrucciones secuenciales; : [WHEN OTHERS => instrucciones secuenciales;] END CASE;

puede estar en ejecucin. FOR La instruccin FOR permite la ejecucin de un juego de instrucciones de manera repetitiva, estableciendo para ello un bucle cerrado. En este tipo de bucle no se necesita declarar una variable de incremento para controlarlo, la misma instruccin FOR se encargar de incrementar su valor en uno en cada ciclo. Esto significa que no se tiene que manipular la variable que controla el bucle FOR. La estructura de FOR es:
FOR identificador IN rango LOOP Instrucciones; END LOOP;

La palabra reservada OTHERS es utilizada para remplazar todas las opciones no listadas en los bloques WHEN, de esta manera en caso que la expresin no satisfaga ninguna de las opciones listadas se ejecutaran las instrucciones especificadas en WHEN OTHERS. Para aclarar el uso de esta instruccin se ha desarrollado el multiplexor 74LS157, mostrado en la figura 4, por medio de la estructura CASE.
ARCHITECTURE secuencia OF Mux157 IS BEGIN PROCESS (A,B,selec) BEGIN CASE selec IS WHEN 0 => Y <= A; WHEN OTHERS => Y <= B; END CASE; END PROCESS; END secuencia;

Por ejemplo, si se est implementando la lectura de un teclado PC-AT, el cual tiene un protocolo de comunicacin en serie de 11 bits. La transmisin de datos se inicia con un primer bit 0 indicador de inicio, los siguientes 8 bits de datos (el menos significativo primero), un bit de paridad impar y un ltimo bit 1 indicador de fin. El diagrama se muestra en la Fig. 6.

Figura 6. Protocolo de Tx del teclado AT Este diagrama representa un byte de datos transmitidos desde el teclado sincronizado con el flanco de bajada de la seal del reloj generado por el teclado, el cual se encuentra entre 20khz y 30khz. El bit de paridad impar P se agrega de forma tal que de los 9 bits totales, 8 bits son de datos y el bit P completa un nmero impar de 1s. Entonces si se desea realizar un diseo que permita la lectura del teclado, se necesita validar los datos adquiridos utilizando el bit de paridad impar proporcionado; para ello se necesita implementar un proceso que nos determine la paridad de la seal Byte, en donde se almacen la tecla pulsada, para luego compararla con el bit de paridad impar P. Entonces el diseo de dicho proceso de deteccin de paridad est dado por:

La Entidad de este diseo est definido en el listado 8, en donde se solucion el mismo ejemplo usando la instruccin IF. Compare ambas soluciones. Se observa que la instruccin de asignacin Y <= B es ejecutada cada vez que selec toma el valor de 1, es decir OTHERS reemplaza la condicin 1 no listada en los casos WHEN. A manera de nota vale la pena mencionar que slo una condicin puede ser cierta en la instruccin CASE, es decir solo un caso WHEN

ELECTRNICA UNMSM

N.11, Agosto del 2003

25
Paridad: PROCESS VARIABLE parid:std_logic := 0; BEGIN FOR i IN 0 TO 7 LOOP Parid := parid XOR Byte(i); END LOOP; IF (P XOR Parid) = 1 THEN dato valido; ELSE no concuerda la paridad; END IF; END PROCESS;

EXIT La instruccin EXIT se utiliza para terminar un bucle de manera abrupta en cualquier momento. Como ejemplo es presentado el contador ascendente que termina cuando ocurre una seal de interrupcin irq:
LOOP cuenta <= cuenta + 1; IF (irq = 1) THEN exit; END IF; END LOOP;

Debe observarse que la etiqueta i utilizada en el lazo FOR no es una variable definida del proceso. WHILE Los bucles WHILE permiten ejecutar un conjunto de instrucciones mientras cierta condicin se mantiene como verdadera. En este tipo de bucle si es necesario definir una variable que controle el ciclo y por lo tanto tener control sobre ella, pudiendo incrementarla, decrementarla, etc. La estructura de esta instruccin es:
WHILE expresin booleana LOOP instrucciones; END LOOP;

NEXT La instruccin NEXT se utiliza para saltar una o ms ejecuciones de un bucle LOOP. As en el siguiente ejemplo las instrucciones del bucle se ejecutarn cuando la etiqueta i tome los valores 1, 2, 3, 5 y 6
FOR i IN 1 TO 6 LOOP IF (i = 4) THEN NEXT; END IF; : END LOOP;

Para dar un ejemplo de cmo se utiliza la variable de ciclo, se muestra el proceso de deteccin de paridad por medio de un ciclo WHILE.
Paridad: PROCESS VARIABLE parid: std_logic := 0; VARIABLE i : integer := 0; BEGIN WHILE i < 8 LOOP Parid := parid XOR Byte(i); i := i + 1; END LOOP; IF (P XOR Parid) = 1 THEN dato valido; ELSE no concuerda la paridad; END IF; END PROCESS;

Se observa que a diferencia de la instruccin EXIT, NEXT no termina las iteraciones del bucle, simplemente produce que la iteracin actual sea descartada y pase a la siguiente. 2.2.5 Registros El diseo de registros en VHDL se puede hacer de dos maneras: Utilizando componentes en una arquitectura de estructura. Utilizando un proceso sensible al flanco de reloj.

Se observa en este caso que ha sido necesario declarar una variable i en el proceso para poder controlar el bucle por medio de una instruccin que va incrementando dicha variable en 1 en cada ciclo.

En el caso de arquitecturas de comportamiento descriptivas se utiliza el segundo caso. El lenguaje VHDL infiere que un registro va a ser creado para una seal q basndose en lo siguiente: El elemento es sensible a la seal de reloj. Se est sintetizando un elemento sncrono si la asignacin de q ocurre en el flanco de subida bajada del reloj, esto es cuando:

ELECTRNICA UNMSM

N.11, Agosto del 2003

26
relojEVENT AND reloj = 1

No se incluye la clusula ELSE en la instruccin IF-THEN, lo que implica que si la condicin de flanco de subida no ocurre, q mantiene su valor.

dcba + 1, ya que los tipos de datos que se estn sumando son del tipo std_logic_vector e integer para el cual, el operador + no est definido. Dependiendo del entorno en el que se trabaje se podr aadir una librera que contemple ese tipo de operacin se tendr que definir. Por ejemplo, a partir de la versin 4.0 de WARP se incorpora un paquete llamado std_arith que sobrecarga los operadores aritmticos +, - y los operadores de relacin =, <, ... para los tipos std_logic, std_logic_vector e integer. As bajo este entorno slo se tendr que aadir al inicio de la Entidad Arquitectura, la lnea.
USE work.std_arith.ALL

Por ejemplo realicemos el diseo de un contador de 4 bits con carga sincrnica y con una seal de reset sncrona, tal como se muestra en la Fig. 6.

dato(3:0) load reset reloj Contador 4 bits cuenta(3:0)

2.2.6 Wait Otra manera de activar un proceso es a travs de la instruccin WAIT. sta es una instruccin secuencial cuya funcin es suspender la ejecucin de un proceso hasta que cierta condicin especificada se vuelva valida. Hay tres tipos de modificadores para esta instruccin: WAIT UNTIL expresin lgica Detiene la ejecucin hasta que la expresin lgica especificada sea verdadera, de esta manera se puede hacer que un proceso espere el flanco de subida de un reloj para que continu su ejecucin mediante:
Sinc: PROCESS BEGIN WAIT UNTIL relojEVENT AND reloj =1; : END PROCESS;

Figura 6. Contador de 4 bits con reset asncrono


LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY Contador IS PORT( load,reloj,reset: IN std_logic; dato: IN std_logic_vector (3 DOWNTO 0); cuenta: OUT std_logic_vector (3 DOWNTO 0)); END Contador; ARCHITECTURE registros OF Contador IS SIGNAL dcba : std_logic_vector (3 DOWNTO 0):=X0"; BEGIN Conta: PROCESS (reloj, reset) BEGIN IF reset = 1 THEN dcba <= 0000; ELSIF relojEVENT AND reloj = 1 THEN IF load = 1 THEN dcba <= dato; ELSE dcba <= dcba + 1; END IF; END IF; END PROCESS; cuenta <= dcba; END registros;

lo cual implica que el proceso implementado es sncrono. WAIT FOR xx ns Detiene la ejecucin del proceso durante xx nanosegundos, permite no slo sincronizar distintas seales, sino tambin simular el comportamiento real de los circuitos integrados aadindoles un tiempo de retardo a las asignaciones para emular el tiempo de propagacin.

Aunque en apariencia el cdigo anterior funciona satisfactoriamente, en el momento de su compilacin va generar un error, debido a la asignacin dcba <=

Notas: La palabra EVENT es un atributo que se utiliza en las seales para determinar que a ocurrido un cambio en el valor de la seal Se puede eliminar la seal dcba utilizando un puerto tipo BUFFER para el puerto cuenta, inclusive se puede eliminar el puerto dato si el puerto cuenta se define como INOUT.

ELECTRNICA UNMSM

N.11, Agosto del 2003

27

WAIT ON (a,b,c,...) Detiene la ejecucin del proceso hasta que ocurra una transicin en cualquiera de las seales a, b, c, etc. Este es el mtodo implementado por defecto cuando se aade una lista sensitiva a un proceso, por consiguiente un proceso con esta lista no puede contener una instruccin WAIT ON internamente, puesto que esta ya ha sido generada de manera implcita. 2.2.7 Memoria Implcita Los problemas de memoria implcita ocurren en VHDL debido a que las seales dentro de un proceso tienen un valor presente y una valor futuro que ser asignado al finalizar el proceso. Por lo tanto si en un proceso el valor futuro de una seal no puede ser determinado, automticamente se sintetizar un latch para almacenar el valor del estado actual. Esta manera de funcionamiento del VHDL tiene la ventaja de permitir la creacin de memoria de manera sencilla pero tiene la desventaja de que se pueda generar latch indeseados si todos los casos de una instruccin condicional no son considerados. Por ejemplo, para especificar el funcionamiento de una compuerta AND simple, se desarrolla el siguiente cdigo:
LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY MiAND IS PORT ( a: IN std_logic; b: IN std_logic; c: OUT std_logic); END MiAND; ARCHITECTURE memoria OF MiAND IS BEGIN PROCESS (a, b) IF a = 1 THEN c <= b; END IF; END PROCESS; END memoria;

sintetizado es como la que se muestra en la Fig. 7.


U1A U4A 1 a 3 2 74LS08 74LS00 2 74LS32 U2A 1 3 b 2 74LS08 1 3 c 2 U3A 1 3

Figura 7. Problema de la memoria implcita. Dicho circuito tiene su salida c igual a b cuando a es 1, y por medio de la realimentacin mantiene c con su valor anterior cuando a es 0. El diseo correcto de la compuerta A N D se logra especificando completamente la instruccin condicional como:
ARCHITECTURE memoria OF MiAND IS BEGIN PROCESS (a, b) IF a = 1 THEN c <= b; ELSE c <= 0; END IF; END PROCESS; END memoria;

Esta especificacin completa de la instruccin condicional produce que el sintetizador genere una sola AND. Entonces si se quiere evitar los problemas de memoria implcita generacin de latch no deseados, se debe terminar siempre toda instruccin IF con una clusula ELSE, asimismo se deben definir todas las alternativas posibles en una instruccin CASE se debe terminar con una clusula WHEN OTHERS. 2.2.8 Mquinas de Estado Finito Los circuitos lgicos secuenciales se clasifican dentro de los circuitos conocidos como mquinas de estado en dos tipos:

Debido a que la especificacin de la instruccin IF..THEN..ELSE est incompleta, y no se puede determinar el valor que tendr c cuando a = 0, automticamente se sintetizar un latch para almacenar el valor actual. De esta manera el circuito

Figura 8 - Mquina de Moore

ELECTRNICA UNMSM

N.11, Agosto del 2003

28

Maquina de Moore En una mquina de Moore las salidas del sistema slo dependen del estado interno, cambiando nicamente cuando cambia su estado. Un ejemplo de estas mquinas son los contadores up/down, entre otros. El diagrama general de una mquina Moore se muestra en la Fig. 8, en donde se observa que las salidas del sistema son codificadas a partir de los estados mediante una lgica combinacional. Para implementar una mquina de Moore, se muestra el ejemplo de un tren de lavado de carros de la figura 9.

Para controlar el lavado se necesita: Tres motores: - Un motor principal que mueve el tren a lo largo del carril con dos seales de actuacin MP1 y MP2. Cuando se activa MP1 el tren se mueve de izquierda a derecha. Cuando se activa MP2 el tren se mueve de derecha a izquierda. - Un motor para los cepillos, con una nica seal de actuacin MC. - Un motor para el ventilador, con una nica seal de actuacin MV. Una electro-vlvula XV que permita la salida del liquido del lavadero hacia el carro. Dos sensores fin de carrera S1 y S2 que detectan la llegada del tren a los extremos del carril. Un sensor S3 que detecta la presencia del carro. Dos pulsadores M y P de marcha y paro respectivamente.

Con estas variables se puede determinar las entradas y salidas de nuestro diseo, as pues: Las salidas del sistema son: Mi = Motor que lleva el tren a la izquierda del carril. Md = Motor que lleva el tren a la derecha del carril. Mc = Motor de giro de los cepillos. Mv = Motor de giro de los ventiladores. Xv = Accionamiento de la salida de jabn.

Figura 9 - Lavado de carros.

Habiendo establecido las entradas y salidas globales, es decir su Entidad, se puede establecer el comportamiento que se desea. Se describe el comportamiento para establecer su Arquitectura. Se intuye que en el funcionamiento del sistema, todos los carros idealmente pasan por un mismo proceso, por lo que cada una de las etapas del lavado ser un estado del sistema, se tendr los siguientes estados: Estado 1: Inicialmente el sistema se encuentra en el extremo izquierdo, con el sensor fin de carrera izquierdo activado (S1 = 1) ya que sta es la po-

________________________________

Notas: Es conveniente que todo proceso tenga una lista sensitiva una instruccin WAIT ON al comienzo, en caso contrario muchos compiladores producirn error al generar un bucle que se ejecuta de manera infinita. Es preferible utilizar la instruccin WAIT ON al inicio del proceso debido a que la gran mayora de sintetizadores suelen descartar las listas sensitivas. Como regla general se debe utilizar solamente una instruccin WAIT por proceso.

ELECTRNICA UNMSM

N.11, Agosto del 2003

29

sicin donde reposa el tren del lavadero. El sistema se pone en marcha al activarse el pulsador (M = 1) siempre y cuando haya un carro dentro del lavado automtico, es decir el sensor que indica la presencia de un carro debajo del tren del lavadero est activo (S3 = 1). Estado 2: Una vez accionado M, el tren del lavadero comenzar a funcionar desplazndose hacia la derecha (Md = 1), accionando el motor de los cepillos (Mc = 1) y el jabn liquido (Xv = 1) hasta llegar al final del carril derecho, activndose (S2 = 1). En este momento se pasa al siguiente estado. Estado 3: En este estado se regresa el tren del lavadero hacia el carril derecho (Mi = 1) y se mantienen los cepillos y la vlvula de jabn encendidos (Mc = 1 y Xv = 1). Cuando se llega al final de carril izquierdo se activa (S1 = 1) y se pasa al siguiente estado Estado 4: En este estado se regresa el tren del lavadero nuevamente hacia el carril derecho (Md = 1) con el ventilador encendido para secarlo (Mv = 1), hasta llegar nuevamente al fin del carril derecho, momento en que se vuelve a activar (S2 = 1) y se pasa al siguiente estado. Estado 5: Se regresa nuevamente el tren del lavadero hacia el carril izquierdo (Mi = 1) manteniendo el ventilador encendido (Mv = 1). Cuando se llega a la posicin final del carril izquierdo (S1 = 1) se pasa al estado inicial quedando el trabajo terminado.

de estados planteado para el lavadero de carros.

P=0 S2=0

2
M=1 S3=1
Mi=0 Md=1 Mv=1 Mv=0 Xv=1

S2=1 P=0

M=0 S3=0

P=1 S1=1

S1=0 P=0 P=1

1
Mi=0 Md=0 Mv=0 Mv=0 Xv=0 Mi=1 Md=0 Mv=0 Mv=0 Xv=0

3
Mi=1 Md=0 Mv=1 Mv=0 Xv=1

P=1 S1=1 P=0 P=1 S1=0


Mi=1 Md=0 Mv=0 Mv=1 Xv=0 Mi=0 Md=1 Mv=0 Mv=1 Xv=0

S1=1 P=0

5
P=0 S1=0

4
P=0 S2=0

S2=1 P=0

Figura 10. Diagrama de estados del lavadero de carros.


LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY lavado_carro IS PORT ( reset,m,p,s1,s2,s3,clk: IN std_logic; md,mi,mc,xv: OUT std_logic); END lavado_carro; ARCHITECTURE moore OF lavado_carro IS TYPE maq_estados IS (esta1,esta2,esta3, esta4,esta5,esta6); SIGNAL est, prox_est: maq_estados; BEGIN A: PROCESS (m,p,s1,s2,s3) BEGIN CASE est IS WHEN esta1 => IF m = 1 AND s3 = 1 THEN prox_est <= esta2; ELSE prox_est <= esta1; END IF; WHEN esta2 => IF p = 1 THEN prox_est <= esta6; ELSIF s2 = 1 THEN prox_est z= esta3; ELSE prox_est <= esta2; END IF; WHEN esta3 => IF p = 1 THEN prox_est <= esta6; ELSIF s1 = 1 THEN prox_est z= esta4;

El diseo se podra dar por terminado aqu y empezar la implementacin en VHDL, pero siempre es bueno considerar imprevistos de emergencia para ello se debe accionar el pulsador de parada P, con el cual se aborta el lavado y el sistema debe regresar al estado inicial, de esta manera se tendr un estado adicional. Estado 6: Cuando se acciona el pulsador de parada (P = 1) en cualquier estado, el tren del lavadero se dirige hacia el carril izquierdo (Mi = 1) y se apagan todos los actuadores (Mc = Mv = Xv = 0). Cuando ste llega al final del carril izquierdo, se pasa al estado inicial.

A continuacin se muestra en la Fig.10 un diagrama

ELECTRNICA UNMSM

N.11, Agosto del 2003

30
ELSE prox_est <= esta3; END IF; WHEN esta4 => IF p = 1 THEN prox_est <= esta6; ELSIF s2 = 1 THEN prox_est z= esta5; prox_est <= esta4; END IF; WHEN esta5 => IF p = 1 THEN prox_est <= esta6; ELSIF s1 = 1 THEN prox_est z= esta1; ELSE prox_est <= esta5; END IF; WHEN esta6 => IF s1 = 1 THEN prox_est <= esta1; ELSE prox_est <= esta6; END IF; WHEN OTHERS prox_est <= esta1; END CASE; END PROCESS; B: PROCESS (reset, clk) BEGIN IF reset = 1 THEN est <= est1; ELSIF (clkEVENT AND clk = 1) THEN est <= prox_est; END IF; END PROCESS; md <= 1 WHEN (est = esta2 OR est = esta4) ELSE 0; mi <= 1 WHEN (est = esta3 OR est = esta5 OR est = esta6) ELSE 0; mc <= 1 WHEN (est = esta2 OR est = esta3) ELSE 0; mv <= 1 WHEN (est = esta4 OR est = esta5) ELSE 0; xv <= 1 WHEN (est = esta2 OR est = esta3) ELSE 0; END moore;

Se pudo haber realizado un solo proceso sensible a la seal del reloj incluyendo dentro el bloque CASE para determinar el estado siguiente, pero el cdigo se hubiera hecho menos legible y difcil de seguir. Finalmente, debido a que las seales de salida slo dependen del estado actual en una mquina de Moore, las salidas son implementadas fuera de los procesos para que siempre sean evaluadas en forma concurrente. Mquina de Mealy En la mquina de Mealy las salidas del sistema cambian debido a un cambio en los estados en las entradas. El diagrama general de una mquina de Mealy es como se muestra en la Fig. 11.

Lgica Entradas

Registros de estados

Lgica de salida

Salidas

Figura 11 - Maquina de Mealy Se observa que la mquina de Moore es en realidad un caso particular de la maquina de Mealy en la cual no intervienen las entradas para determinar la lgica de salida. De esta manera se ve fcilmente que para la generacin de una mquina Mealy lo nico que se deber variar en el programa es la asignacin de las salidas, que ahora tambin dependern de las entradas del sistema. Por ejemplo, si en el programa anterior se varan las ltimas lneas de asignacin de salidas por:
md <= 1 WHEN ((est = est2 AND s1 = 1) OR est = est4) ELSE 0; mi <= 1 WHEN (est = est3 OR s3 = 1) ELSE 0; mc <= 1 WHEN (est = est2 OR est = est3) ELSE 0; mv <= 1 WHEN (s2 = 1 XOR est = est5) ELSE 0; xv <= 1 WHEN (est = est4 NOR P = 1) ELSE 0;

En el programa anterior se ha definido un nuevo tipo de dato llamado maq_estados por medio de la instruccin TYPE, este nuevo tipo de datos toma los valores de esta1, esta2,..., esta6 y las seales creadas con ese tipo nos sirve para almacenar directamente el estado actual de la maquina de Moore. Se observa que se han utilizado dos seales del tipo maq_estados, que tiene el estado actual de la maquina, y prox_est, que tiene el prximo estado; luego se han implementado dos procesos, uno para determinar la lgica del estado siguiente y otro para determinar el cambio de estado en el flanco de subida del reloj.

Se tendr una mquina de estados de Mealy. Debido a que los cambios realizados han sido al azar, las

ELECTRNICA UNMSM

N.11, Agosto del 2003

31

variaciones hechas al circuito no conservan ninguna relacin con el diseo planteado; estos cambios lo nico que muestran es la dependencia hacia las salidas de las entradas s1, s2, s3 y P determinando que la mquina de estados sea considerada como de Mealy.

2.3 Ejemplo de Diseos. 2.3.1 El Ascensor Enunciado.- Se desea disear el controlador de un ascensor para una vivienda de tres pisos: piso 1, piso 2 y piso 3. Las entradas del circuito son tres botones para indicar el piso al cual el usuario quiere ir. Tres sensores indican el piso en el cual se encuentra el ascensor en un momento dado y un sensor en la puerta del mismo para detectar la presencia de algn obstculo, en cuyo caso la puerta no debe cerrarse. Las salidas del circuito son: el motor que sube, baja y detiene el ascensor; y el motor que abre y cierra la puerta. Iinicialmente los motores estn apagados con la puerta abierta. En el momento que alguien pulsa un botn dentro del ascensor y esta corresponde a un piso diferente del que nos encontramos, se pasa al cerrado de la puerta siempre y cuando el sensor ubicado en la puerta no haya detectado algn obstculo. Una vez que la puerta est cerrada, se pasa al movimiento del ascensor ascendente si el botn presionado es superior al piso actual y descendente en caso contrario. Una vez que llega al piso deseado se detienen los motores y se abre la puerta del ascensor, permaneciendo as hasta, que ocurra otra llamada. Si mientras el ascensor est en movimiento se pulsan los botones, estos no tendrn efecto alguno. Solucin.- Del enunciado del problema se establece los siguientes estados: Estado 1: (inicia) Sin importar el piso en el que se encuentra el ascensor, en el estado inicial esta se encuentra con la puerta abierta y en reposo. Esto es el motor de la puerta est abierto y el motor que mueve el ascensor para arriba abajo est apagado. Permanece en este estado hasta que se pulse el botn de un piso distinto al piso actual,

pasando al siguiente estado. Estado 2: (cerrar) En este estado se espera que la puerta del ascensor no detecte ningn obstculo. Cuando el sensor de la puerta indica que est libre de obstculos, pasa al siguiente estado. Estado 3: (va) Aqu se procede a cerrar la puerta y luego, si el piso requerido es mayor al piso presente el ascensor asciende y en caso de ser inferior desciende. El ascensor permanece en movimiento hasta que llegue al piso indicado momento en el cual regresa al estado inicial.

El diagrama de estados propuesto para el ascensor es el mostrado en la Fig. 12.


reset
b oton /= ss_piso b oton /= 000

cerrar

inicia
b oton = ss_piso

ss_puerta = 0

m ot_AbAr = 00 m ot_puerta = 0

va
m ot_puerta = 1 Botn > s s _puerta m ot_AbAr = 10 Botn < s s _puerta m ot_AbAr = 01

Figura 12. Diagrama de estados del ascensor.


LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY ascensor IS PORT ( boton: IN std_logic_vector(2 downto 0); ss_piso: IN std_logic_vector(2 downto 0); clk,reset: IN std_logic; ss_puerta: IN std_logic; mot_ArAb: OUT std_logic_vector(1 downto 0); mot_puerta: OUT std_logic); END ENTITY ascensor; ARCHITECTURE control OF ascensor IS TYPE estado IS (inicia, cerrar, va); SIGNAL presente: estado:= inicia; SHARED VARIABLE boton_pulsado: std_logic_vector(2 DOWNTO 0):=boton; BEGIN FSM: PROCESS (reset, clk) BEGIN IF reset = 1 THEN presente <= inicia; ELSIF clk = 1 AND clkevent THEN CASE presente IS WHEN inicia => IF boton/=000" AND boton/=ss_piso

ELECTRNICA UNMSM

N.11, Agosto del 2003

32
THEN presente<=cerrar; boton_pulsado:=boton; END IF; WHEN cerrar => IF ss_puerta=0' THEN presente<=va; Sin obstaculo END IF; WHEN va => IF boton=ss_piso THEN presente<=inicia; Ya llego al piso END IF; END CASE; END IF; END PROCESS FSM; SALIDA: PROCESS (presente) Solo al cambiar de estado BEGIN CASE presente IS WHEN va => mot_puerta <= 1; Cierra puerta IF boton _pulsado >ss_piso THEN Ascensor arriba mot_ArAb <= 10; ELSE Ascensor abajo mot_ArAb <= 01; END IF; WHEN OTHERS => Ascensor Parado mot_ArAb <= 00; Abre puerta mot_puerta <= 0; END CASE; END PROCESS SALIDA; END control;

Enunciado.- Se desea convertir la transmisin serie enviada por el teclado en paralelo, detectar la ocurrencia de errores de paridad y errores de marco.
Reloj

Datos Ini

Fin

Figura 13. Protocolo de Tx del teclado AT Solucin.- Para resolver este problema primero se disea un circuito que convierta la entrada serial en paralela, una vez terminada la captura del dato se verifica la paridad de la informacin y de ser correcta se genera la seal de Cod_ok. El error de marco se determina estableciendo la ocurrencia del bit de inicio en 0 y el bit de fin en 1. Cuando el dato est listo se genera la seal de cod_ack.

Reloj Datos teclado Reset

Codigo (7 to 0) Cod_ack Cod_ok Error_de_marco

Figura 14. Entidad del circuito


LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_arith.ALL; USE ieee.std_logic_unsigned.ALL; ENTITY teclado IS PORT ( clk_teclado,datos,reset: IN std_logic; codigo: OUT std_logic_vector(7 DOWNTO 0); cod_ack,cod_ok: OUT std_logic; error_de_marco: OUT std_logic); END ENTITY teclado; ARCHITECTURE escaneo OF teclado IS SIGNAL desplaza: std_logic_vector(7 DOWNTO 0); SIGNAL indicador: integer; BEGIN RX: PROCESS (reset, clk_teclado) VARIABLE paridad_rx: std_logic:=0'; VARIABLE paridad_calc: std_logic:=0'; VARIABLE indice: integer:=-1; VARIABLE marco_mal: std_logic; BEGIN IF reset = 1 THEN indice := -1; codigo <= ZZZZZZZZ; cod_ok <= Z; cod_ack <= Z; desplaza <= 00000000; error_de_marco <= Z; ELSIF clk_tecladoevent AND clk_teclado=0' THEN

Los resultados de la simulacin del programa se muestra en la Fig. 15 del Anexo I. Observar que: En la simulacin cuando se entra al estado VA el motor del ascensor empieza a moverse automticamente, pero el sensor de piso permanece en el piso actual durante un pulso de reloj, esto se debe a que el sistema mecnico del ascensor posee una inercia que lo retarda antes de empezar su movimiento real. La etiqueta SHARED utilizada junto con la definicin de la variable sirve para poder crear variables globales que puedan ser visibles dentro de distintos procesos. 2.3.2 El Teclado

ELECTRNICA UNMSM

N.11, Agosto del 2003

33
indice := indice + 1; CASE indice IS WHEN 0 => cod_ack <= 0; cod_ok <= Z; paridad_calc := 0; codigo <= ZZZZZZZZ; error_de_marco <= Z; IF datos/=0THEN marco_mal := 1; ELSE marco_mal := 0; END IF; WHEN 9 => codigo <=desplaza(7 DOWNTO 0); paridad_rx := datos; WHEN 10 => indice := -1; desplaza <= ; IF datos/=1THEN marco_mal := 1; No se considera ELSE por END IF; si hubo error al inicio IF (paridad_calc XOR paridad_rx) = 1 THEN cod_ok <= 1; Dato valido ELSE cod_ok <= 0; Error de paridad END IF; error_de_marco <= marco_mal; cod_ack <= 1; WHEN OTHERS => desplaza(7 DOWNTO 1) <= desplaza(6DOWNTO0); desplaza(0) <= datos; paridad_calc := paridad_calc XOR datos; calcula la paridad inpar END CASE; END IF; indicador <= indice; END PROCESS RX; END escaneo;

decodificado. Para mejorar este proceso la seal de cod_ack se volvera a la peticin de IRQ y los datos seran transmitidos cuando un habilitador de la peticin sea aceptado. (El error de marco junto con la nota no es parte de la pregunta, se han incluido con el nico propsito de mejorar la simulacin) La seal indicador se ha incluido para poder visualizar en la simulacin el estado de la variable ndice, ya que las variables no pueden ser vistas.

III. TIPOS DE DATOS Y ATRIBUTOS El lenguaje VHDL es un lenguaje estricto con relacin a su tipo de datos, es decir todo objeto definido en VHDL debe tener un tipo de datos asociado; entendindose por objeto a cualquier seal, variable, componente constante creada. Debido a esta caracterstica, VHDL no permite que a un objeto definido de un tipo se le pueda asignar un dato de otro tipo. Entre los principales tipos de datos en VHDL se explican seguidamente. 3.1 Tipos Escalares Este tipo de datos tiene un orden pre establecido que permite utilizar operadores de relaciones entre ellos. Son utilizados para realizar el algoritmo del programa y en caso de ser necesario son pasados al sintetizador para ser implementados en hardware; esto no siempre tiene una correspondencia fsica con el circuito diseado. Existen cuatro tipos de datos escalares: Enteros. Los enteros son el tipo base predefinido integer cuyo rango depende de la maquina en la que se encuentra el compilador. Este tipo corresponde a los nmeros enteros usuales y soportan las operaciones matemticas de suma, resta, multiplicacin y divisin. Tambin se pueden definir otros tipos enteros con rangos especficos mediante: TYPE mi_vida_entera IS RANGE 1978 TO 2003;

Los resultados de la simulacin del programa se presenta en la Figura 16 del anexo I. Se Observa que: La primera transmisin corresponde a un envo sin error de la tecla a cuyo cdigo es 1C; la segunda transmisin es de la tecla p que tiene el cdigo 4D y en esta transmisin se tiene error de paridad (est en 0 y debera ser 1) y el error de marco en el bit de parada (esta en 0 y debera ser 1) Las seales error_de_marco y cod_ok se fuerzan a alta impedancia para que dichas lneas de control puedan ser utilizadas para otros propsitos mientras el teclado no ha sido

ELECTRNICA UNMSM

N.11, Agosto del 2003

34
BEGIN retener <= dat1; END PROCESS; Proc2: PROCESS BEGIN retener <= dat3; END PROCESS; END tipos;

Reales. Los nmeros reales, son aquellos definidos en los lenguajes de programacin como punto flotante y su rango tambin depende de la maquina donde se encuentre el compilador VHDL. Fsicos. Son datos que trabajan asociados a magnitudes fsicas, es decir junto con el valor tienen asociada una unidad. Un ejemplo de este tipo de datos fsicos predefinidos es time que nos indica la unidad de tiempo y fue utilizada como argumento de la instruccin WAIT FOR. Tambin se pueden generar nuevos tipos fsicos declarando la unidad base, por ejemplo si definimos el tipo, resistor, para representar la resistencia, esta sera de la siguiente forma:
TYPE ohm IS RANGE 0 TO 999999999999; UNITS ohm; unidad base K = 1000 ohm; Kilo ohm M = 1000 K; Mega ohm END UNITS;

El compilador de VHDL no podr determinar que valor, debe asignar la seal retener por lo cual se generar un error. Para solucionar este tipo de problemas se debe crear funciones de resolucin que determine el valor que tomarn las seales en dichos casos. 3.2 Tipos Compuestos ARRAY. El tipo compuesto ARRAY consiste en un arreglo indexado de elementos del mismo tipo. Estos arreglos pueden ser de una sola dimensin con un solo ndice n-dimensinales con mltiples ndices. Por ejemplo, se puede definir una memoria de programa de 1Kbyte de la siguiente manera:
TYPE memoria IS ARRAY (0 TO 1023) OF std_logic_vector (7 DOWNTO 0);

Enumerados. Los tipos enumerados pueden tomar cualquier valor especificado en una lista y por lo general son usados para especificar los posibles estados de un sistema. Por ejemplo se puede crear un tipo de dato estados que tome los valores s1 a s6 mediante:
TYPE estados IS (s1,s2,s3,s4,s5,s6);

utilizando este tipo se puede definir ahora un banco de 4 Kbytes mediante:


TYPE banco IS ARRAY (0 TO 3) OF memoria;

Este tipo de datos por defecto es inicializado en el valor especificado a la izquierda, siendo importante definir el listado en orden alfabtico para mantener esta configuracin por defecto. Asimismo, este tipo de datos por ser generado por el usuario no tiene ningn tipo de funcin de resolucin que determine que hacer en casos de contingencias, por ejemplo si se tiene dos procesos que asignan valores a una misma seal como se muestra a continuacin:
ARCHITECTURE tipos OF seales IS TYPE muestra IS (dat1,dat2,dat3,dat4); SIGNAL retener: muestra; BEGIN Proc1: PROCESS

Si se desea tambin se pueden definir arreglos multidimensionales, por ejemplo se puede definir una matriz cuadrada de 5x5 elementos enteros por medio de:
TYPE matriz IS ARRAY (1 TO 5,1 TO 5) OF integer;

RECORD. El tipo compuesto RECORD consiste en un arreglo de elementos de diferentes tipos. Por ejemplo, se puede definir el contador de programa PC para una memoria de 4Kbytes, como un arreglo de 16 bancos de 256 bytes cada uno. De esta manera los 12 bits necesarios para direccionar todas las posiciones de memoria se pueden descomponer en dos, un PCH que seleccione uno los bancos de trabajo y un PCL

ELECTRNICA UNMSM

N.11, Agosto del 2003

35

que apunte a una de las 256 posiciones de memoria del banco seleccionado, tal como se muestra en la Fig. 17.

Los subtipos son un subconjunto de valores de un tipo anteriormente definido. Existen dos subtipos enteros predefinidos, definidos como:
SUTYPE natural IS integer RANGE 0 TO mayor_entero; SUTYPE positive IS integer RANGE 0 TO mayor_entero;

Figura 17. Contador de programa entonces se puede declarar el tipo RECORD PC y crear una seal de dicho tipo mediante:
TYPE PC IS RECORD PCH : std_logic_vector (3 DOWNTO 0); PCL : std_logic_vector (7 DOWNTO 0); END RECORD; SIGNAL memo : PC;

Tambin se pueden crear subtipos de tipos enumerados definiendo el rango de valores que se desea para el subtipo. 3.5 Atributos Los objetos definidos en VHDL pueden tener informacin adicional asociada a ellos, que se denomina atributos. Estos atributos son referenciados utilizando la comilla simple y aunque no son parte de las instrucciones de lenguaje VHDL existen un numero estndar de atributos predefinidos. 3.5.1 Asociados al Tipo de Dato Este tipo de atributos devuelven informacin referente al tipo de dato asociado a una seal. Para seales de tipo enumerado escalar pueden ser usados los siguientes atributos : Left. Devuelve el valor que se encuentra en el lmite izquierdo del tipo. Right. Devuelve el valor situado en el lmite derecho del tipo. Low. Devuelve el valor del tipo al cual le corresponde la menor codificacin. High. Devuelve el valor del tipo al cual le corresponde la mayor codificacin. Leftof(X). Da el valor del tipo a la izquierda de X. Rightof(X). Da el valor del tipo a la derecha de X Pos(X). Devuelve la posicin del valor X dentro de su tipo. Val(N). Devuelve el valor correspondiente a la posicin N.

Si deseamos seleccionar la direccin de memoria 2AF, debemos actualizar el campo PCH en 2 y el campo PCL en AF por medio de:
memo.PCH <= 0010; memo.PCL <= XAF;

3.3 Alias Los ALIAS son otra manera de llamar una seal ya existente y son utilizadas por lo general para referenciar segmentos de una seal. Por ejemplo otra manera de realizar el direccionamiento de una memoria de 4 Kbytes sera mediante:
SIGNAL memo: std_logic_vector( 11 DOWNTO 0); ALIAS PCH: std_logic_vector( 3 DOWNTO 0) IS memo(11 DOWNTO 8); ALIAS PCL: std_logic_vector( 7 DOWNTO 0) IS memo(7 DOWNTO 0);

los segmentos PCH y PCL son tratados como si fueran seales, por lo que la direccin de memoria 2AF se asignar mediante:
PCH PCL <= <= 0010; XAF;

Veamos como se utilizan estos atributos en el siguiente ejemplo


ARCHITECTURE tipo OF ejemplo IS TYPE estado IS (S0,S1,S2,S3,S4,S5);

3.4 Subtipos

ELECTRNICA UNMSM

N.11, Agosto del 2003

36
TYPE estados_vector IS ARRAY (0 to 7) OF estado; SIGNAL est: estados_vector; SIGNAL i: integer; BEGIN est(0) <= estadoleft; est(1) <= estadoright; est(2) <= estadolow; est(3) <= estadohigh; est(4) <= estadoleftof(S3); est(5) <= estadorightof(S3); est(6) <= estadoval(3); i <= estadopos(S3); END tipo; S0 S5 S0 S5 S2 S4 S3 3

dichas asignaciones con atributos son equivalentes a las asignaciones


est i <= <= S0 & S5 & S0 & S5 & S2 & S4 & S3 & S0; 3;

Event. Devuelve un valor de verdad si es que ha ocurrido un evento de asignacin en la seal, sin importar el cambio real de valor. Por ejemplo, si a una seal binaria que posea el valor 0 se le asigna el valor 0 nuevamente, el atributo event devolver un estado de verdad ya que ha ocurrido una asignacin. Last_event. Devuelve el tiempo transcurrido desde la ultima asignacin en la seal. Last_value. Devuelve el valor previo en la seal, antes de que ocurra la ultima asignacin.

3.5.4 Atributos que Generan Seales Este tipo de atributos son utilizados para mantener sincronismo ya que permiten generan nuevas seales con respecto a un tiempo determinado. Se tienen los siguientes atributos Delayed(tiempo). Genera una seal del mismo tipo del dato, pero retrasada el tiempo especificado. Stable(tiempo). Genera una seal booleana verdadera cuando la seal no tiene ningn evento ms all del tiempo especificado. Quiet(tiempo). Genera una seal booleana verdadera cuando no ocurre ningn cambio de valor en la seal, es decir la seal no tiene transicin. Transaction. Genera una seal tipo bit que cambia su valor cada vez que la seal tiene una transicin.

Se observa que aunque en el listado no se da una asignacin para la seal est(7), esta toma el valor S0. Esto se debe a que toda seal sin inicializar toma su menor valor posible por defecto; es decir, si una seal Y no es inicializada explcitamente, el compilador le asignar el valor Ylow que es igual a Yleft. 3.5.2 Asociados a Arreglos Este tipo de atributos slo pueden ser utilizados con arreglos, y sirven para determinar cierta informacin de ellos. Tenemos los siguientes: Left(N). Devuelve el valor del limite izquierdo del N arreglo. Right(N). Devuelve el valor situado en el limite derecho del N arreglo. Low(N). Devuelve el menor valor del N arreglo. High(N). Devuelve el mayor valor del N arreglo. Length(N). Devuelve el valor del tipo al cual le corresponde la mayor codificacin. Range(N). Devuelve la posicin del valor X dentro de su tipo. Reverse_range(N). Devuelve el valor correspondiente a la posicin N.

IV. DIRECTIVAS DE SNTESIS EN VHDL Aunque el lenguaje VHDL es un lenguaje estndar, existen diferentes compiladores proporcionados por distintos fabricantes de PLD, CPLD y FPGA. Por ejemplo Altera produce MaxPlus II, Cypress desarrolla el entorno Warp, Xilinx el Integrated Software Enviroment ISE. Cada uno de estos sintetizadores de cdigo VHDL proporcionan directivas propias usadas por sus compiladores para controlar diferentes aspectos en

3.5.3 Asociados al Estado de una Seal Los siguientes atributos devuelven una seal dependiendo de lo ocurrido

ELECTRNICA UNMSM

N.11, Agosto del 2003

37

el procedimiento de sntesis de los circuitos digitales. Todas las directivas de sntesis pueden ser controladas insertando en el cdigo fuente VHDL las directivas apropiadas mediante la instruccin ATTRIBUTE La instruccin ATTRIBUTE puede ser utilizada en casi todo objeto de VHDL, pero la aplicacin determinar como estos atributos sern interpretados. Algunas directivas de sntesis son diseadas para las seales, otras para ser usadas en componentes. Algunos atributos se pueden definir en la Entidad en la Arquitectura de manera que sean globales y cualquier seal definida en una instancia menor heredar dicho atributo por defecto. Otros atributos sin embargo son especficos y tienen que declararse para el objeto deseado. Los atributos de tipo globales, que pueden ser definidos en cualquiera de los siguientes objetos de VHDL son: Entidad. Arquitectura. Declaracin de un componente. Referencia a un componente (etiqueta de componente). Seales.

4.1.1 ENUM_ENCODING Esta directiva de sntesis se utiliza para indicar al compilador la manera en que se debe implementar la codificacin de un tipo de dato enumerado. Esta directiva es implementada mediante:
ATTRIBUTE enum_encoding OF nom_tipo: TYPE IS string;

En donde la codificacin a utilizar se define entre comillas mediante una cadena de cdigo binario separado por espacios en blanco. Un ejemplo de como utilizar esta directiva se muestra a continuacin:
ARCHITECTURE atributo_warp OF ejemplo IS TYPE tipostados is (S0, S1, S2, S3); ATTRIBUTE enum_encoding OF tipostados : TYPE IS 11 01 00 10; SIGNAL estado1 : tipostados; BEGIN

Esta directiva cuando es utilizada sobre escribe cualquier directiva state_encoding dada al mismo tipo. 4.1.2 FF_TYPE Esta directiva se utiliza para forzar al compilador a utilizar un tipo de flip-flop especfico en la implementacin de una seal en los CPLDs. Los posibles valores de atributo de esta directiva son ff_d, que indica al compilador que se sintetizar una seal utilizando flip-flop tipo D, ff_t para utilizar flip-flop tipo T y ff_opt para que el compilador utilice el flipflop que consuma menos recursos en el dispositivo. Puede ser utilizada de manera global para todos los elementos de una arquitectura se puede declarar para una seal especifica. Para usar esta directiva se debe declarar mediante:
ATTRIBUTE ff_type OF nombre_seal : {SIGNAL | ARCHITECTURE} IS {ff_d | ff_t | ff_opt};

De todos estos objetos la Entidad es la que tiene menor precedencia y la Seal es de mayor precedencia, de esta manera una directiva de sntesis colocada en la arquitectura puede ser variada para una seal en particular dentro de una estructura. Es decir las directivas puestas en una Arquitectura sirven como una directiva por defecto para todas las seales dentro de la arquitectura Estas directivas son descritas en VHDL por medio de la instruccin ATTRIBUTE mediante
ATTRIBUTE nombre_atributo OF {nombre_componente| nombre_entidad | nombre_ seal | variable | tipo_dato} : {componente | entidad | seal | variable | tipo} IS valor_atributo;

4.1 Directivas de Sntesis en el Entorno Warp

As por ejemplo se puede definir una directiva global que implemente todas las seales con flip flop tipo D y especificar tambin que una de estas seales sea implementada con un flip flop tipo T.

ELECTRNICA UNMSM

N.11, Agosto del 2003

38

ARCHITECTURE atributo_warp OF ejemplo IS SIGNAL c,b,a : std_logic; ATTRIBUTE ff_type OF atributo : ARCHITECTURE IS ff_d; ATTRIBUTE ff_type OF c: SIGNAL IS ff_t; BEGIN Proc1: PROCESS (clk) BEGIN IF (clkevent AND clk = 1) THEN c <= NOT c; b <= dato1; a <= dato2; END IF; END PROCESS; END atributo_warp;

define el pin 6 para el puerto a y el pin 7 para el puerto b. En caso de que se listen varios pines del dispositivo se puede utilizar el operador de concatenacin & de la siguiente manera
ATTRIBUTE atributo OF ejemplo: ENTITY IS seal_1:1& seal_2:2& : seal_n:n;

4.1.5

STATE_ENCODING

4.1.3 PART_NAME

Esta directiva especifica el tipo de codificacin que se va a realizar con los tipos enumerados
ATTRIBUTE state_encoding OF nombre_tipo: TYPE IS valor_atributo;

Esta directiva sirve para especificar dentro del cdigo VHDL el dispositivo integrado en el cual se va a grabar el circuito diseado. Esta directiva sobre escribe cualquier otro dispositivo que se hubiera seleccionado como dispositivo final.
ATTRIBUTE part_name OF nombre_entidad: ENTITY IS nombre_dispositivo;

Los valores de atributo de esta directiva son: Sequential. Este tipo de codificacin interna representa cada valor del tipo enumerado mediante una secuencia binaria, utilizando tantos bits como sea necesario. Por ejemplo esta codificacin aplicada a:
ARCHITECTURE atributo OF ejemplo IS TYPE tipostados is (S0, S1, S2,S3); ATTRIBUTE enum_encoding OF tipostados : TYPE IS sequential SIGNAL estado1 : tipostados; BEGIN

Por ejemplo se puede especificar como dispositivo final un integrado CY7C371 mediante
ENTITY ejemplo IS PORT ( a,b: in std_logic); ATTRIBUTE part_name OF counter: ENTITY IS c371; END ejemlo;

4.1.4

PIN_NUMBERS

Esta directiva se utiliza para asignar los puertos de una entidad a los pines de un dispositivo. Por lo general la primera vez que se sintetiza es aconsejable no utilizar esta directiva para dejar que el propio sintetizador optimice los recursos del dispositivo. La manera de usar esta directiva es la siguiente:
ATTRIBUTE pin_numbers OF entity_name: ENTITY IS nombre_seal:numero_pin;

producir que tipostados sea implementado como la secuencia binaria 00, 01, 10 y 11. One_hot_zero. Esta directiva especifica una codificacin interna con el primer valor del tipo implementado a 0 y cada siguiente valor del tipo posee su propio bit de posicin en la codificacin puesto en 1. De esta manera:
ARCHITECTURE atributo OF ejemplo IS TYPE tipostados is (S0, S1, S2, S3); ATTRIBUTE enum_encoding OF tipostados : TYPE IS one_hot_zero SIGNAL estado1 : tipostados; BEGIN

en donde cada par de la forma nombre_ seal:nmero, estn separados por un espacio en blanco y se encuentra entre comillas; as por ejemplo:
ENTITY ejemplo IS PORT ( a,b: in std_logic); ATTRIBUTE pin_numbers OF counter: ENTITY IS a:6 b:7 ; END ejemplo;

producir una codificacin 000, 001, 010 y 100.

ELECTRNICA UNMSM

N.11, Agosto del 2003

39

One_hot_one. Esta codificacin fuerza al compilador de VHDL que utilice un Flip-Flop por estado, en vez del proceso de sntesis normal en el que trata de minimizar el uso de Flip-Flop. As en el siguiente ejemplo:
ARCHITECTURE atributo OF ejemplo IS TYPE tipostados is (S0, S1, S2, S3); ATTRIBUTE enum_encoding OF tipostados : TYPE IS one_hot_one SIGNAL estado1 : tipostados; BEGIN

atributo mediante una cadena de cdigo binario separado por caracteres de espacios. Este tipo de directiva solo puede ser utilizada con un tipo enumerado asociado, es decir no se lo puede definir de manera global. Un ejemplo de como utilizar esta directiva se muestra a continuacin:
ARCHITECTURE atributo OF ejemplo IS TYPE tipostados is (S0,S1,S2,S3); ATTRIBUTE enum_encoding OF tiposestados : TYPE IS 110 101 011 000; SIGNAL estado1 : tipostados; SIGNAL estado2 : tipostados; BEGIN

La codificacin producida ser 0001, 0010, 0100 y 1000. Este tipo de codificacin tiene la ventaja de dar mayor velocidad a la mquina de estado, reducir el circuito lgico del estado siguiente y disminuir el uso de celdas lgicas. Tiene como principal desventaja el aumento del nmero de macroceldas utilizadas. Gray. Cuando el valor de la directiva est puesto en gray, la codificacin interna de los valores sucesivos del tipo enumerado son codificados utilizando un formato Gray, es decir cada valor difiere del anterior en un solo bit. As por ejemplo:
ARCHITECTURE atributo OF ejemplo IS TYPE tipostados is (S0, S1, S2, S3); ATTRIBUTE enum_encoding OF tipostados : TYPE IS gray SIGNAL estado1 : tipostados; BEGIN

4.2.1 FSM_ENCODING Esta directiva de sntesis se utiliza para seleccionar la tcnica de codificacin para una mquina de estado. El tipo de codificacin utilizado por defecto es auto, modo en el cual se busca la mayor reduccin para cada mquina de estado. Los valores de atributo disponibles son: Onehot Compact Sequential Gray Johnson User

producir la codificacin 00, 01, 11 y 10. 4.2 Directivas de Sntesis Para el Entorno ISE Antes de poder utilizar algunos atributos en el sintetizador del ISE, estos deben ser previamente declarados mediante:
ATTRIBUTE nombre_atributo : string;

Este tipo de directiva puede ser aplicado de manera global asocindolo a una entidad arquitectura; puede ser definido directamente para una seal. Antes de usar esta directiva se la debe declara mediante
ATTRIBUTE fsm_encoding: string;

Despus de haber sido declara se puede especificar el atributo por medio de:
ATTRIBUTE fsm_encoding OF {nombre_entidad | nombre_seal} : {ENTITY | SIGNAL} IS {auto | onehot | compact | gray | sequential | Jonson | user};

4.2.1 ENUM_ENCODING

Esta directiva de sntesis se utiliza para indicar al compilador la manera en que se debe implementar la codificacin de un tipo de dato enumerado. La codificacin a implementar se define en el valor del

4.2.3 FSM_FFTYPE

ELECTRNICA UNMSM

N.11, Agosto del 2003

40

Esta directiva de sntesis se utiliza para definir el tipo de flip-flops a utilizado para los registros que implementan una mquina de estados. Aunque existen dos valores de atributos D y T, algunas versiones del ISE no permiten utilizar registros tipo T. Esta directiva puede aplicarse de manera global a una entidad se la puede asociar directamente a una seal. Para usar esta directiva se la debe declara previamente como<
ATTRIBUTE fsm_fftype: string;

ATTRIBUTE open_drain: string;

Y luego aplicarla a una seal de salida mediante:


ATTRIBUTE open_drain OF nombre_seal : SIGNAL IS TRUE;

V. BIBLIOGRAFA Cypres. VHDL training for PLDs, CPLDs and FPGAs, 1999 Dispositivas del curso del ao 1999. Iigo Oleagoridia;Curso en linea de VHDL de la EUITI -Escuela Universitaria de Ingeniera Tcnica Industrial; www.ehu.es/~jtpolagi/index.htm; acceso: Enero 2003. Hamblen. Rapid prototyping of digital systems, 2000. Skahill, K. VHDL for programmable logic, 1998. Sudhakar. VHDL starters guide, 1998. Teres, T. V. VHDL lenguaje estandar de diseo electronico, 1998.

Una vez declarada se la puede utilizar como sigue:


ATTRIBUTE fsm_fftype OF { nombre_entidad | nombre_seal} : {ENTITY | SIGNAL} IS {d | t};

4.2.4 PWR_MODE Esta tipo de directiva define el modo de operacin en la cual las macroceldas son implementadas en un dispositivo, existen dos modos, el de bajo consumo y el estndar de alto rendimiento. Esta directiva se puede aplicar a una seal a un mdulo, propagndose la directiva a todos los elementos que hereden propiedades del elemento. Se debe declarar el atributo antes de usarlo mediante:
ATTRIBUTE pwr_mode: string;

Una vez declarado se lo puede utilizar por medio de


ATTRIBUTE pwr_mode OF {nombre_seal | nom_compone} : {SIGNAL | componente} IS {LOW | STD};

4.2.5 OPEN_DRAIN Esta directiva se aplica a las salidas para generar una salida de colector abierto, de esta manera el estado uno de la seal de salida produce una seal de alta impedancia Z en el pin del dispositivo. Esta directiva no puede ser utilizada de manera global sino que debe estar asociada directamente a una seal de salida a uno de los puertos. Antes de utilizar la directiva se la debe declarar mediante:

ELECTRNICA UNMSM

N.11, Agosto del 2003

41

VI. ANEXO I

Figura 15 - Simulacin del programa del ascensor

Figura 16 - Simulacin del programa de lectura de teclado

ELECTRNICA UNMSM

N.11, Agosto del 2003

También podría gustarte