default search action
Dimitrios Soudris
Person information
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
2020 – today
- 2024
- [j154]Miltiadis G. Siavvas, Dimitrios Tsoukalas, Charalambos Marantos, Lazaros Papadopoulos, Christos P. Lamprakos, Oliviu Matei, Christos Strydis, Muhammad Ali Siddiqi, Philippe Chrobocinski, Katarzyna Filus, Joanna Domanska, Paris Avgeriou, Apostolos Ampatzoglou, Dimitrios Soudris, Alexander Chatzigeorgiou, Erol Gelenbe, Dionisis D. Kehagias, Dimitrios Tzovaras:
SDK4ED: a platform for building energy efficient, dependable, and maintainable embedded software. Autom. Softw. Eng. 31(2): 53 (2024) - [j153]Andreas Kosmas Kakolyris, Dimosthenis Masouros, Sotirios Xydis, Dimitrios Soudris:
SLO-Aware GPU DVFS for Energy-Efficient LLM Inference Serving. IEEE Comput. Archit. Lett. 23(2): 150-153 (2024) - [j152]Charalampos Marantos, Achilleas Tzenetopoulos, Sotirios Xydis, Dimitrios Soudris:
Cometes: Cross-Device Mapping for Energy and Time-Aware Deployment on Edge Infrastructures. IEEE Embed. Syst. Lett. 16(2): 98-101 (2024) - [j151]Daichi Watari, Charalampos Marantos, Ittetsu Taniguchi, Francky Catthoor, Kostas Siozios, Dimitrios Soudris, Takao Onoye:
Online Energy Management Framework for Smart Buildings With Low-Complexity Estimators. IEEE Embed. Syst. Lett. 16(2): 138-141 (2024) - [j150]Aggelos Ferikoglou, Andreas Kosmas Kakolyris, Vasilis Kypriotis, Dimosthenis Masouros, Dimitrios Soudris, Sotirios Xydis:
CollectiveHLS: Ultrafast Knowledge-Based HLS Design Optimization. IEEE Embed. Syst. Lett. 16(2): 235-238 (2024) - [j149]Achilleas Tzenetopoulos, Dimosthenis Masouros, Sotirios Xydis, Dimitrios Soudris:
Orchestration Extensions for Interference- and Heterogeneity-Aware Placement for Data-Analytics. Int. J. Parallel Program. 52(4): 298-323 (2024) - [j148]Dimitrios Giagkos, Achilleas Tzenetopoulos, Dimosthenis Masouros, Sotirios Xydis, Francky Catthoor, Dimitrios Soudris:
AI-Driven QoS-Aware Scheduling for Serverless Video Analytics at the Edge. Inf. 15(8): 480 (2024) - [j147]Vasileios Panousopoulos, Emmanouil Papaloukas, Vasileios Leon, Dimitrios Soudris, Emmanuel Koumandakis, George Lentaris:
HW/SW co-design on embedded SoC FPGA for star tracking optimization in space applications. J. Real Time Image Process. 21(1): 16 (2024) - [j146]Dimosthenis Masouros, George Retsinas, Sotirios Xydis, Dimitrios Soudris:
Sparkle: Deep Learning Driven Autotuning for Taming High-Dimensionality of Spark Deployments. IEEE Trans. Cloud Comput. 12(4): 1058-1073 (2024) - [c360]Aggelos Ferikoglou, Andreas Kosmas Kakolyris, Vasilis Kypriotis, Dimosthenis Masouros, Dimitrios Soudris, Sotirios Xydis:
Data-driven HLS optimization for reconfigurable accelerators. DAC 2024: 309:1-309:6 - [c359]Dimosthenis Masouros, Aggelos Ferikoglou, Georgios Zervakis, Sotirios Xydis, Dimitrios Soudris:
Late Breaking Results: Language-level QoR modeling for High-Level Synthesis. DAC 2024: 351:1-351:2 - [c358]Elisavet Lydia Alvanaki, Manolis Katsaragakis, Dimosthenis Masouros, Sotirios Xydis, Dimitrios Soudris:
Decoupled Access-Execute Enabled DVFS for TinyML Deployments on STM32 Microcontrollers. DATE 2024: 1-6 - [c357]Giorgos Armeniakos, Paula L. Duarte, Priyanjana Pal, Georgios Zervakis, Mehdi B. Tahoori, Dimitrios Soudris:
On-Sensor Printed Machine Learning Classification via Bespoke ADC and Decision Tree Co-Design. DATE 2024: 1-6 - [c356]Aimilios Leftheriotis, Achilleas Tzenetopoulos, George Lentaris, Dimitrios Soudris, Georgios Theodoridis:
TF2AIF: Facilitating development and deployment of accelerated AI models on the cloud-edge continuum. EuCNC/6G Summit 2024: 931-936 - [c355]Achilleas Tzenetopoulos, George Lentaris, Aimilios Leftheriotis, Panos Chrysomeris, Javier Palomares, Estefanía Coronado, Raman Kazhamiakin, Dimitrios Soudris:
Seamless HW-accelerated AI serving in heterogeneous MEC Systems with AI@EDGE. HPDC 2024: 377-380 - [c354]Achilleas Tzenetopoulos, Michele Gazzetti, Dimosthenis Masouros, Christian Pinto, Sotirios Xydis, Dimitrios Soudris:
Disaggregated RDDs: Extending and Analyzing Apache Spark for Memory Disaggregated Infrastructures. IC2E 2024: 107-117 - [c353]Simon Vellas, Bill Psomas, Kalliopi Karadima, Dimitrios Danopoulos, Alexandros Paterakis, George Lentaris, Dimitrios Soudris, Konstantinos Karantzalos:
Evaluation of Resource-Efficient Crater Detectors on Embedded Systems. IGARSS 2024: 6134-6141 - [c352]Giorgos Armeniakos, Georgios Mentzos, Dimitrios Soudris:
Accelerating TinyML Inference on Microcontrollers Through Approximate Kernels. IPDPS (Workshops) 2024: 177 - [c351]Vasilis Kypriotis, Georgios Smaragdos, Pieter Kruizinga, Dimitrios Soudris, Christos Strydis:
A Reconfigurable Architecture of a Scalable, Ultrafast, Ultrasound, Delay-and-Sum Beamformer. IPDPS (Workshops) 2024: 190 - [c350]Ishita Chaturvedi, Bhargav Reddy Godala, Yucan Wu, Ziyang Xu, Konstantinos Iliakis, Panagiotis-Eleftherios Eleftherakis, Sotirios Xydis, Dimitrios Soudris, Tyler Sorensen, Simone Campanoni, Tor M. Aamodt, David I. August:
GhOST: a GPU Out-of-Order Scheduling Technique for Stall Reduction. ISCA 2024: 1-16 - [c349]Charalampos Marantos, Sotirios Kokosis, Michail Vakis, Konstantinos Gounaridis, Mattheos Papavasiliou, Dimitrios Soudris:
Empowering Accessibility: A Hybrid Bluetooth-Based Approach for Indoor Navigation. MOCAST 2024: 1-4 - [i29]Dimitrios Danopoulos, Georgios Zervakis, Dimitrios Soudris, Jörg Henkel:
TransAxx: Efficient Transformers with Approximate Computing. CoRR abs/2402.07545 (2024) - [i28]Aimilios Leftheriotis, Achilleas Tzenetopoulos, George Lentaris, Dimitrios Soudris, Georgios Theodoridis:
TF2AIF: Facilitating development and deployment of accelerated AI models on the cloud-edge continuum. CoRR abs/2404.13715 (2024) - [i27]Simon Vellas, Bill Psomas, Kalliopi Karadima, Dimitrios Danopoulos, Alexandros Paterakis, George Lentaris, Dimitrios Soudris, Konstantinos Karantzalos:
Evaluation of Resource-Efficient Crater Detectors on Embedded Systems. CoRR abs/2405.16953 (2024) - [i26]Elisavet Lydia Alvanaki, Manolis Katsaragakis, Dimosthenis Masouros, Sotirios Xydis, Dimitrios Soudris:
Decoupled Access-Execute enabled DVFS for tinyML deployments on STM32 microcontrollers. CoRR abs/2407.03711 (2024) - [i25]Giorgos Armeniakos, Alexis Maras, Sotirios Xydis, Dimitrios Soudris:
Mixed-precision Neural Networks on RISC-V Cores: ISA extensions for Multi-Pumped Soft SIMD Operations. CoRR abs/2407.14274 (2024) - [i24]Achilleas Tzenetopoulos, Dimosthenis Masouros, Sotirios Xydis, Dimitrios Soudris:
Leveraging Core and Uncore Frequency Scaling for Power-Efficient Serverless Workflows. CoRR abs/2407.18386 (2024) - [i23]Andreas Kosmas Kakolyris, Dimosthenis Masouros, Petros Vavaroutsos, Sotirios Xydis, Dimitrios Soudris:
SLO-aware GPU Frequency Scaling for Energy Efficient LLM Inference Serving. CoRR abs/2408.05235 (2024) - [i22]Vasileios Leon, Anastasios Xynos, Dimitrios Soudris, George Lentaris, Ruben Domingo, Arturo Perez, David González Arjona, Isabelle Conway, David Merodio Codinachs:
Development of High-Performance DSP Algorithms on the European Rad-Hard NG-ULTRA SoC FPGA. CoRR abs/2409.12253 (2024) - [i21]Vasileios Leon, Panagiotis Minaidis, Dimitrios Soudris, George Lentaris:
MPAI: A Co-Processing Architecture with MPSoC & AI Accelerators for Vision Applications in Space. CoRR abs/2409.12258 (2024) - [i20]Vasileios Leon, Panagiotis Minaidis, George Lentaris, Dimitrios Soudris:
Accelerating AI and Computer Vision for Satellite Pose Estimation on the Intel Myriad X Embedded SoC. CoRR abs/2409.12939 (2024) - [i19]Giorgos Armeniakos, Georgios Mentzos, Dimitrios Soudris:
Accelerating TinyML Inference on Microcontrollers through Approximate Kernels. CoRR abs/2409.16815 (2024) - 2023
- [j145]Markos A. Kousounadis-Knousen, Ioannis K. Bazionis, Dimitrios Soudris, Francky Catthoor, Pavlos S. Georgilakis:
A New Co-Optimized Hybrid Model Based on Multi-Objective Optimization for Probabilistic Wind Power Forecasting in a Spatio-Temporal Framework. IEEE Access 11: 84885-84899 (2023) - [j144]Achilleas Tzenetopoulos, Dimosthenis Masouros, Dimitrios Soudris, Sotirios Xydis:
DVFaaS: Leveraging DVFS for FaaS Workflows. IEEE Comput. Archit. Lett. 22(2): 85-88 (2023) - [j143]Giorgos Armeniakos, Georgios Zervakis, Dimitrios Soudris, Jörg Henkel:
Hardware Approximate Techniques for Deep Neural Network Accelerators: A Survey. ACM Comput. Surv. 55(4): 83:1-83:36 (2023) - [j142]Daichi Watari, Ittetsu Taniguchi, Francky Catthoor, Charalampos Marantos, Kostas Siozios, Elham Shirazi, Dimitrios Soudris, Takao Onoye:
Thermal-Comfort Aware Online Co-Scheduling Framework for HVAC, Battery Systems, and Appliances in Smart Buildings. IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 106(5): 698-706 (2023) - [j141]Manolis Katsaragakis, Lazaros Papadopoulos, Mario Konijnenburg, Francky Catthoor, Dimitrios Soudris:
A memory footprint optimization framework for Python applications targeting edge devices. J. Syst. Archit. 142: 102936 (2023) - [j140]Vasileios Leon, Panagiotis Minaidis, George Lentaris, Dimitrios Soudris:
Accelerating AI and Computer Vision for Satellite Pose Estimation on the Intel Myriad X Embedded SoC. Microprocess. Microsystems 103: 104947 (2023) - [j139]Giorgos Armeniakos, Georgios Zervakis, Dimitrios Soudris, Mehdi B. Tahoori, Jörg Henkel:
Co-Design of Approximate Multilayer Perceptron for Ultra-Resource Constrained Printed Circuits. IEEE Trans. Computers 72(9): 2717-2725 (2023) - [j138]Dimitrios Danopoulos, Georgios Zervakis, Kostas Siozios, Dimitrios Soudris, Jörg Henkel:
AdaPT: Fast Emulation of Approximate DNN Accelerators in PyTorch. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 42(6): 2074-2078 (2023) - [j137]Giorgos Armeniakos, Georgios Zervakis, Dimitrios Soudris, Mehdi B. Tahoori, Jörg Henkel:
Model-to-Circuit Cross-Approximation For Printed Machine Learning Classifiers. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 42(11): 3532-3544 (2023) - [j136]Charalampos Marantos, Lazaros Papadopoulos, Christos P. Lamprakos, Konstantinos Salapas, Dimitrios Soudris:
Bringing Energy Efficiency Closer to Application Developers: An Extensible Software Analysis Framework. IEEE Trans. Sustain. Comput. 8(2): 180-193 (2023) - [c348]Lazaros Papadopoulos, Antonios Karteris, Dimitrios Soudris, Eva María Muñoz Navarro, Juan Jose Hernandez-Montesinos, Stéphane Paul, Nicolas Museux, Sandra König, Manuel Egger, Stefan Schauer, Javier Hingant Gómez, Tamara Hadjina:
PRAETORIAN: A Framework for the Protection of Critical Infrastructures from advanced Combined Cyber and Physical Threats. ARES 2023: 112:1-112:6 - [c347]Aggelos Ferikoglou, Panos Chrysomeris, Achilleas Tzenetopoulos, Manolis Katsaragakis, Dimosthenis Masouros, Dimitrios Soudris:
IRIS: Interference and Resource Aware Predictive Orchestration for ML Inference Serving. CLOUD 2023: 1-12 - [c346]Dimitrios Giagkos, Achilleas Tzenetopoulos, Dimosthenis Masouros, Dimitrios Soudris, Sotirios Xydis:
Darly: Deep Reinforcement Learning for QoS-aware scheduling under resource heterogeneity Optimizing serverless video analytics. CLOUD 2023: 1-3 - [c345]George Pagonis, Vasileios Leon, Dimitrios Soudris, George Lentaris:
Increasing the Fault Tolerance of COTS FPGAs in Space: SEU Mitigation Techniques on MPSoC. ARC 2023: 215-229 - [c344]Konstantina Koliogeorgi, Dimitrios Soudris, Sotirios Xydis:
Profile-Driven Banded Smith-Waterman acceleration for Short Read Alignment. DAC 2023: 1-6 - [c343]Manil Dev Gomony, Floran de Putter, Anteneh Gebregiorgis, Gianna Paulin, Linyan Mei, Vikram Jain, Said Hamdioui, Victor Sanchez, Tobias Grosser, Marc Geilen, Marian Verhelst, Friedemann Zenke, Frank K. Gürkaynak, Barry de Bruin, Sander Stuijk, Simon Davidson, Sayandip De, Mounir Ghogho, Alexandra Jimborean, Sherif Eissa, Luca Benini, Dimitrios Soudris, Rajendra Bishnoi, Sam Ainsworth, Federico Corradi, Ouassim Karrakchou, Tim Güneysu, Henk Corporaal:
PetaOps/W edge-AI $\mu$ Processors: Myth or reality? DATE 2023: 1-6 - [c342]Andreas Kosmas Kakolyris, Manolis Katsaragakis, Dimosthenis Masouros, Dimitrios Soudris:
RoaD-RuNNer: Collaborative DNN partitioning and offloading on heterogeneous edge systems. DATE 2023: 1-6 - [c341]Manolis Katsaragakis, Konstantinos Stavrakakis, Dimosthenis Masouros, Lazaros Papadopoulos, Dimitrios Soudris:
Adjacent LSTM-Based Page Scheduling for Hybrid DRAM/NVM Memory Systems. PARMA-DITAM 2023: 7:1-7:12 - [c340]Dimosthenis Masouros, Christian Pinto, Michele Gazzetti, Sotirios Xydis, Dimitrios Soudris:
Adrias: Interference-Aware Memory Orchestration for Disaggregated Cloud Infrastructures. HPCA 2023: 855-869 - [c339]Antonios Karteris, Georgios Tzanos, Lazaros Papadopoulos, Dimitrios Soudris:
Detection of Cyber Security Threats through Social Media Platforms. IPDPS Workshops 2023: 820-823 - [c338]Manolis Katsaragakis, Dimosthenis Masouros, Lazaros Papadopoulos, Francky Catthoor, Dimitrios Soudris:
On the Implications of Heterogeneous Memory Tiering on Spark In-Memory Analytics. IPDPS Workshops 2023: 945-952 - [c337]Charalampos Marantos, Christos P. Lamprakos, Kostas Siozios, Dimitrios Soudris:
Model-Free HVAC Optimizer based on Reinforcement Learning. ISIE 2023: 1-8 - [c336]Christos Panagiotis Lamprakos, Sotirios Xydis, Francky Catthoor, Dimitrios Soudris:
The Unexpected Efficiency of Bin Packing Algorithms for Dynamic Storage Allocation in the Wild: An Intellectual Abstract. ISMM 2023: 58-70 - [c335]Aimilios Leftheriotis, Aphrodite Tzomaka, Dimitrios Danopoulos, George Lentaris, George Theodoridis, Dimitrios Soudris:
Evaluating Versal ACAP and conventional FPGA platforms for AI inference. MOCAST 2023: 1-6 - [c334]Christos Panagiotis Lamprakos, Sotirios Xydis, Peter Kourzanov, Manu Perumkunnil, Francky Catthoor, Dimitrios Soudris:
Beyond RSS: Towards Intelligent Dynamic Memory Management (Work in Progress). MPLR 2023: 158-164 - [c333]Christos P. Lamprakos, Dimitrios S. Bouras, Francky Catthoor, Dimitrios Soudris:
Reliable Basic Block Energy Accounting. SAMOS 2023: 193-208 - [c332]Dimosthenis Masouros, Dimitrios Soudris, Georgios Gardikis, Victoria Katsarou, Maria Christopoulou, George Xilouris, Hugo Ramón, Antonio Pastor, Fabrizio Scaglione, Cristian Petrollini, António Pinto, João P. Vilela, Antonia Karamatskou, Nikolaos Papadakis, Anna Angelogianni, Thanassis Giannetsos, Luis Javier García-Villalba, Jesús A. Alonso-López, Martin Strand, Gudmund Grov, Anastasios N. Bikos, Kostas Ramantas, Ricardo Santos, Fábio Silva, Nikolaos Tsampieris:
Towards Privacy-First Security Enablers for 6G Networks: The PRIVATEER Approach. SAMOS 2023: 379-391 - [i18]Giorgos Armeniakos, Georgios Zervakis, Dimitrios Soudris, Mehdi B. Tahoori, Jörg Henkel:
Co-Design of Approximate Multilayer Perceptron for Ultra-Resource Constrained Printed Circuits. CoRR abs/2302.14576 (2023) - [i17]Giorgos Armeniakos, Georgios Zervakis, Dimitrios Soudris, Mehdi B. Tahoori, Jörg Henkel:
Model-to-Circuit Cross-Approximation For Printed Machine Learning Classifiers. CoRR abs/2303.08255 (2023) - [i16]Manolis Katsaragakis, Christos Baloukas, Lazaros Papadopoulos, Verena Kantere, Francky Catthoor, Dimitrios Soudris:
Energy Consumption Evaluation of Optane DC Persistent Memory for Indexing Data Structures. CoRR abs/2304.00953 (2023) - [i15]Christos P. Lamprakos, Sotirios Xydis, Francky Catthoor, Dimitrios Soudris:
Viewing Allocators as Bin Packing Solvers Demystifies Fragmentation. CoRR abs/2304.10862 (2023) - [i14]Christos P. Lamprakos, Sotirios Xydis, Francky Catthoor, Dimitrios Soudris:
The Unexpected Efficiency of Bin Packing Algorithms for Dynamic Storage Allocation in the Wild: An Intellectual Abstract. CoRR abs/2305.01497 (2023) - [i13]Vasileios Leon, Muhammad Abdullah Hanif, Giorgos Armeniakos, Xun Jiao, Muhammad Shafique, Kiamal Z. Pekmestzi, Dimitrios Soudris:
Approximate Computing Survey, Part I: Terminology and Software & Hardware Approximation Techniques. CoRR abs/2307.11124 (2023) - [i12]Vasileios Leon, Muhammad Abdullah Hanif, Giorgos Armeniakos, Xun Jiao, Muhammad Shafique, Kiamal Z. Pekmestzi, Dimitrios Soudris:
Approximate Computing Survey, Part II: Application-Specific & Architectural Approximation Techniques and Applications. CoRR abs/2307.11128 (2023) - [i11]Giorgos Armeniakos, Paula L. Duarte, Priyanjana Pal, Georgios Zervakis, Mehdi B. Tahoori, Dimitrios Soudris:
On-sensor Printed Machine Learning Classification via Bespoke ADC and Decision Tree Co-Design. CoRR abs/2312.01172 (2023) - 2022
- [j135]Nikos Vasilas, Athanasios I. Papadopoulos, Lazaros Papadopoulos, Athanasios Salamanis, Panagiotis Kazepidis, Dimitrios Soudris, Dionisis D. Kehagias, Panos Seferlis:
Approximate computing, skeleton programming and run-time scheduling in an algorithm for process design and controllability in distributed and heterogeneous infrastructures. Comput. Chem. Eng. 164: 107874 (2022) - [j134]Sotirios Panagiotou, Harry Sidiropoulos, Dimitrios Soudris, Mario Negrello, Christos Strydis:
EDEN: A High-Performance, General-Purpose, NeuroML-Based Neural Simulator. Frontiers Neuroinformatics 16: 724336 (2022) - [j133]Konstantinos Iliakis, Konstantina Koliogeorgi, Antonios Litke, Theodora A. Varvarigou, Dimitrios Soudris:
GPU accelerated blockchain over key-value database transactions. IET Blockchain 2(1): 1-12 (2022) - [j132]Christos P. Lamprakos, Charalampos Marantos, Miltiadis G. Siavvas, Lazaros Papadopoulos, Angeliki-Agathi Tsintzira, Apostolos Ampatzoglou, Alexander Chatzigeorgiou, Dionysios D. Kehagias, Dimitrios Soudris:
Translating quality-driven code change selection to an instance of multiple-criteria decision making. Inf. Softw. Technol. 145: 106851 (2022) - [j131]Konstantina Kanta, Panagiotis Toumasis, Giannis Giannoulis, Ioannis Stratakos, George Lentaris, Elissaios-Alexios Papatheofanous, Ioanna Mesogiti, Eleni Theodoropoulou, Aristotelis Margaris, Dimitris Syrivelis, E. Kyriazi, Giorgos Brestas, Kostas Tokas, Nikolaos Argyris, Christos Vagionas, Ronis T. Maximidis, Paraskevas Bakopoulos, Agapi Mesodiakaki, Marios Gatzianas, George Kalfas, Kostas Tsagkaris, Nikos Pleros, Dionysios I. Reisis, George L. Lyberopoulos, Dimitrios Apostolopoulos, Dimitrios Soudris, Hercules Avramopoulos:
Live demonstration of an SDN-reconfigurable, FPGA-based TxRx for an analog-IFoF/mmWave radio access network in an MNO's infrastructure. JOCN 15(8): C299-C306 (2022) - [j130]Achilleas Tzenetopoulos, Dimosthenis Masouros, Nikolaos Kapsoulis, Antonios Litke, Dimitrios Soudris, Theodora A. Varvarigou:
HLF-Kubed: Blockchain-Based Resource Monitoring for Edge Clusters. Ledger 7 (2022) - [j129]Charalampos Marantos, Lazaros Papadopoulos, Angeliki-Agathi Tsintzira, Apostolos Ampatzoglou, Alexander Chatzigeorgiou, Dimitrios Soudris:
Decision support for GPU acceleration by predicting energy savings and programming effort. Sustain. Comput. Informatics Syst. 34: 100631 (2022) - [j128]Konstantina Koliogeorgi, Sotirios Xydis, Georgi Gaydadjiev, Dimitrios Soudris:
GANDAFL: Dataflow Acceleration for Short Read Alignment on NGS Data. IEEE Trans. Computers 71(11): 3018-3031 (2022) - [j127]Konstantinos Iliakis, Sotirios Xydis, Dimitrios Soudris:
Repurposing GPU Microarchitectures with Light-Weight Out-Of-Order Execution. IEEE Trans. Parallel Distributed Syst. 33(2): 388-402 (2022) - [j126]Lazaros Papadopoulos, Dimitrios Soudris, Christoph W. Kessler, August Ernstsson, Johan Ahlqvist, Nikos Vasilas, Athanasios I. Papadopoulos, Panos Seferlis, Charles Prouveur, Matthieu Haefele, Samuel Thibault, Athanasios Salamanis, Theodoros Ioakimidis, Dionysios D. Kehagias:
EXA2PRO: A Framework for High Development Productivity on Heterogeneous Computing Systems. IEEE Trans. Parallel Distributed Syst. 33(4): 792-804 (2022) - [j125]Konstantinos Iliakis, Helga Timko, Sotirios Xydis, Panagiotis Tsapatsaris, Dimitrios Soudris:
Enabling Large Scale Simulations for Particle Accelerators. IEEE Trans. Parallel Distributed Syst. 33(10): 4425-4439 (2022) - [c331]Antonios Karteris, Georgios Tzanos, Lazaros Papadopoulos, Konstantinos P. Demestichas, Dimitrios Soudris, Juliette Pauline Philibert, Carlos López Gómez:
A Methodology for enhancing Emergency Situational Awareness through Social Media. ARES 2022: 130:1-130:7 - [c330]Ioannis Fakinos, Achilleas Tzenetopoulos, Dimosthenis Masouros, Sotirios Xydis, Dimitrios Soudris:
Sequence Clock: A Dynamic Resource Orchestrator for Serverless Architectures. CLOUD 2022: 81-90 - [c329]Petros Vavaroutsos, Ioannis Oroutzoglou, Dimosthenis Masouros, Dimitrios Soudris:
Towards making the most of NLP-based device mapping optimization for OpenCL kernels. COINS 2022: 1-6 - [c328]Giorgos Armeniakos, Georgios Zervakis, Dimitrios Soudris, Mehdi B. Tahoori, Jörg Henkel:
Cross-Layer Approximation For Printed Machine Learning Circuits. DATE 2022: 190-195 - [c327]Manolis Katsaragakis, Lazaros Papadopoulos, Christos Baloukas, Dimitrios Soudris:
Memory Management Methodology for Application Data Structure Refinement and Placement on Heterogeneous DRAM/NVM Systems. DATE 2022: 748-753 - [c326]Achilleas Tzenetopoulos, Dimosthenis Masouros, Konstantina Koliogeorgi, Sotirios Xydis, Dimitrios Soudris, Antony Chazapis, Christos Kozanitis, Angelos Bilas, Christian Pinto, Huy-Nam Nguyen, Stelios Louloudakis, Georgios Gardikis, George Vamvakas, Michelle Aubrun, Christi Symeonidou, Vassilis Spitadakis, Konstantinos F. Xylogiannopoulos, Bernhard Peischl, Tahir Emre Kalayci, Alexander Stocker, Jean-Thomas Acquaviva:
EVOLVE: Towards Converging Big-Data, High-Performance and Cloud-Computing Worlds. DATE 2022: 975-980 - [c325]Charalampos Marantos, Miltiadis G. Siavvas, Dimitrios Tsoukalas, Christos P. Lamprakos, Lazaros Papadopoulos, Pawel Boryszko, Katarzyna Filus, Joanna Domanska, Apostolos Ampatzoglou, Alexander Chatzigeorgiou, Erol Gelenbe, Dionisis D. Kehagias, Dimitrios Soudris:
SDK4ED: One-click platform for Energy-aware, Maintainable and Dependable Applications. DATE 2022: 981-986 - [c324]Antonis Karteris, Manolis Katsaragakis, Dimosthenis Masouros, Dimitrios Soudris:
SGRM: Stackelberg Game-Based Resource Management for Edge Computing Systems. DATE 2022: 1203-1208 - [c323]Manolis Katsaragakis, Christos Baloukas, Lazaros Papadopoulos, Verena Kantere, Francky Catthoor, Dimitrios Soudris:
Energy Consumption Evaluation of Optane DC Persistent Memory for Indexing Data Structures. HIPC 2022: 75-84 - [c322]Endri Taka, George Lentaris, Dimitrios Soudris:
Improving the performance of RISC-V softcores on FPGA by exploiting PVT variability and DVFS. ISCAS 2022: 1595-1599 - [c321]Vasileios Leon, Georgios Makris, Sotirios Xydis, Kiamal Z. Pekmestzi, Dimitrios Soudris:
MAx-DNN: Multi-Level Arithmetic Approximation for Energy-Efficient DNN Hardware Accelerators. LASCAS 2022: 1-4 - [c320]Charalampos Marantos, Nikolaos Maidonis, Dimitrios Soudris:
Designing Application Analysis Tools for Cross-Device Energy Consumption Estimation. MOCAST 2022: 1-4 - [c319]Christos Vagionas, Ronis T. Maximidis, Ioannis Stratakos, Aristotelis Margaris, Agapi Mesodiakaki, Marios Gatzianas, Konstantina Kanta, Panagiotis Toumasis, Giannis Giannoulis, Dimitrios Apostolopoulos, Elissaios-Alexios Papatheofanous, George Lentaris, Dionysios I. Reisis, Dimitrios Soudris, Kostas Tsagkaris, Nikolaos Argyris, Dimitris Syrivelis, Paraskevas Bakopoulos, R. M. Oldenbeuving, C. G. H. Roeloffzen, P. W. L. van Dijk, I. Dimogiannis, A. Kontogiannis, Hercules Avramopoulos, Amalia N. Miliou, Nikos Pleros, George Kalfas:
End-to-End Real-Time Service Provisioning over a SDN-controllable 60 GHz analog FiWi X-haul for 5G Hot-Spot Networks. OFC 2022: 1-3 - [c318]Christos P. Lamprakos, Lazaros Papadopoulos, Francky Catthoor, Dimitrios Soudris:
The Impact of Dynamic Storage Allocation on CPython Execution Time, Memory Footprint and Energy Consumption: An Empirical Study. SAMOS 2022: 219-234 - [c317]Dimitrios Danopoulos, Ioannis Stamoulias, George Lentaris, Dimosthenis Masouros, Ioannis Kanaropoulos, Andreas Kosmas Kakolyris, Dimitrios Soudris:
LSTM Acceleration with FPGA and GPU Devices for Edge Computing Applications in B5G MEC. SAMOS 2022: 406-419 - [c316]Konstantina Koliogeorgi, Dimitris Mylonakis, Sotirios Xydis, Dimitrios Soudris:
High Level Synthesis Acceleration of Change Detection in Multi-Temporal High Resolution Sentinel-2 Satellite Images. VLSI-SoC 2022: 1-6 - [c315]Vasileios Leon, George Lentaris, Dimitrios Soudris, Simon Vellas, Mathieu Bernou:
Towards Employing FPGA and ASIP Acceleration to Enable Onboard AI/ML in Space Applications. VLSI-SoC 2022: 1-4 - [c314]Vasileios Leon, Elissaios-Alexios Papatheofanous, George Lentaris, Charalampos Bezaitis, Nikolaos Mastorakis, Georgios Bampilis, Dionysios I. Reisis, Dimitrios Soudris:
Combining Fault Tolerance Techniques and COTS SoC Accelerators for Payload Processing in Space. VLSI-SoC 2022: 1-6 - [c313]Vasileios Leon, Kiamal Z. Pekmestzi, Dimitrios Soudris:
Systematic Embedded Development and Implementation Techniques on Intel Myriad VPUs. VLSI-SoC 2022: 1-2 - [i10]Dimitrios Danopoulos, Georgios Zervakis, Kostas Siozios, Dimitrios Soudris, Jörg Henkel:
AdaPT: Fast Emulation of Approximate DNN Accelerators in PyTorch. CoRR abs/2203.04071 (2022) - [i9]Giorgos Armeniakos, Georgios Zervakis, Dimitrios Soudris, Mehdi B. Tahoori, Jörg Henkel:
Cross-Layer Approximation For Printed Machine Learning Circuits. CoRR abs/2203.05915 (2022) - [i8]Giorgos Armeniakos, Georgios Zervakis, Dimitrios Soudris, Jörg Henkel:
Hardware Approximate Techniques for Deep Neural Network Accelerators: A Survey. CoRR abs/2203.08737 (2022) - [i7]Petros Vavaroutsos, Ioannis Oroutzoglou, Dimosthenis Masouros, Dimitrios Soudris:
Towards making the most of NLP-based device mapping optimization for OpenCL kernels. CoRR abs/2208.14124 (2022) - [i6]Manil Dev Gomony, Floran de Putter, Anteneh Gebregiorgis, Gianna Paulin, Linyan Mei, Vikram Jain, Said Hamdioui, Victor Sanchez, Tobias Grosser, Marc Geilen, Marian Verhelst, Frank K. Zenke, Frank K. Gürkaynak, Barry de Bruin, Sander Stuijk, Simon Davidson, Sayandip De, Mounir Ghogho, Alexandra Jimborean, Sherif Eissa, Luca Benini, Dimitrios Soudris, Rajendra Bishnoi, S. Ainsworth, Federico Corradi, Ouassim Karrakchou, Tim Güneysu, Henk Corporaal:
CONVOLVE: Smart and seamless design of smart edge processors. CoRR abs/2212.00873 (2022) - 2021
- [j124]Nikolaos Nikolaidis, Dimitrios Zisis, Apostolos Ampatzoglou, Alexander Chatzigeorgiou, Dimitrios Soudris:
Experience With Managing Technical Debt in Scientific Software Development Using the EXA2PRO Framework. IEEE Access 9: 72524-72534 (2021) - [j123]Vasileios Leon, Ioannis Stamoulias, George Lentaris, Dimitrios Soudris, David González Arjona, Ruben Domingo, David Merodio Codinachs, Isabelle Conway:
Development and Testing on the European Space-Grade BRAVE FPGAs: Evaluation of NG-Large Using High-Performance DSP Benchmarks. IEEE Access 9: 131877-131892 (2021) - [j122]Charalampos Marantos, Konstantinos Salapas, Lazaros Papadopoulos, Dimitrios Soudris:
A Flexible Tool for Estimating Applications Performance and Energy Consumption Through Static Analysis. SN Comput. Sci. 2(1): 21 (2021) - [j121]Dimitrios Danopoulos, Christoforos Kachris, Dimitrios Soudris:
Utilizing cloud FPGAs towards the open neural network standard. Sustain. Comput. Informatics Syst. 30: 100520 (2021) - [j120]Vasileios Leon, George Lentaris, Evangelos Petrongonas, Dimitrios Soudris, Gianluca Furano, Antonis Tavoularis, David Moloney:
Improving Performance-Power-Programmability in Space Avionics with Edge Devices: VBN on Myriad2 SoC. ACM Trans. Embed. Comput. Syst. 20(3): 22:1-22:23 (2021) - [j119]Vasileios Leon, Theodora Paparouni, Evangelos Petrongonas, Dimitrios Soudris, Kiamal Z. Pekmestzi:
Improving Power of DSP and CNN Hardware Accelerators Using Approximate Floating-point Multipliers. ACM Trans. Embed. Comput. Syst. 20(5): 39:1-39:21 (2021) - [j118]Dimosthenis Masouros, Sotirios Xydis, Dimitrios Soudris:
Rusty: Runtime Interference-Aware Predictive Monitoring for Modern Multi-Tenant Systems. IEEE Trans. Parallel Distributed Syst. 32(1): 184-198 (2021) - [j117]Endri Taka, Konstantinos Maragos, George Lentaris, Dimitrios Soudris:
Process Variability Analysis in Interconnect, Logic, and Arithmetic Blocks of 16-nm FinFET FPGAs. ACM Trans. Reconfigurable Technol. Syst. 14(3): 13:1-13:30 (2021) - [c312]Dimitrios Danopoulos, Christoforos Kachris, Dimitrios Soudris:
Covid4HPC: A Fast and Accurate Solution for Covid Detection in the Cloud Using X-Rays. ARC 2021: 327-336 - [c311]Antony Chazapis, Jean-Thomas Acquaviva, Angelos Bilas, Georgios Gardikis, Christos Kozanitis, Stelios Louloudakis, Huy-Nam Nguyen, Christian Pinto, Arno Scharl, Dimitrios Soudris:
EVOLVE: HPC and cloud enhanced testbed for extracting value from large-scale diverse data. CF 2021: 200-205 - [c310]Dimitra Nikitopoulou, Dimosthenis Masouros, Sotirios Xydis, Dimitrios Soudris:
Performance Analysis and Auto-tuning for SPARK in-memory analytics. DATE 2021: 76-81 - [c309]Daichi Watari, Ittetsu Taniguchi, Francky Catthoor, Charalampos Marantos, Kostas Siozios, Elham Shirazi, Dimitrios Soudris, Takao Onoye:
Thermal Comfort Aware Online Energy Management Framework for a Smart Residential Building. DATE 2021: 535-538 - [c308]Evangelos Petrongonas, Vasileios Leon, George Lentaris, Dimitrios Soudris:
ParalOS: A Scheduling & Memory Management Framework for Heterogeneous VPUs. DSD 2021: 221-228 - [c307]Panagiotis Toumasis, Konstantina Kanta, Kostas Tokas, Ioannis Stratakos, Elissaios-Alexios Papatheofanous, Giannis Giannoulis, Ioanna Mesogiti, Eleni Theodoropoulou, George L. Lyberopoulos, George Lentaris, Dimitrios Apostolopoulos, Dionysios I. Reisis, Dimitrios Soudris, Hercules Avramopoulos:
Demonstration of FPGA-based A-IFoF/mmWave transceiver integration in mobile infrastructure for beyond 5G transport. ECOC 2021: 1-4 - [c306]Vasileios Leon, Kiamal Z. Pekmestzi, Dimitrios Soudris:
Exploiting the Potential of Approximate Arithmetic in DSP & AI Hardware Accelerators. FPL 2021: 263-264 - [c305]Konstantina Koliogeorgi, Fekhr Eddine Keddous, Dimosthenis Masouros, Antony Chazapis, Michelle Aubrun, Sotirios Xydis, Angelos Bilas, Romain Hugues, Jean-Thomas Acquaviva, Huy-Nam Nguyen, Dimitrios Soudris:
FPGA acceleration in EVOLVE's Converged Cloud-HPC Infrastructure. FPL 2021: 376-377 - [c304]Konstantina Koliogeorgi, Sotirios Xydis, Dimitrios Soudris:
FPGA Acceleration of Short Read Alignment. HEART 2021: 13:1-13:2 - [c303]Aggelos Ferikoglou, Dimosthenis Masouros, Achilleas Tzenetopoulos, Sotirios Xydis, Dimitrios Soudris:
Resource Aware GPU Scheduling in Kubernetes Infrastructure. PARMA-DITAM@HiPEAC 2021: 4:1-4:12 - [c302]Vasileios Leon, Charalampos Bezaitis, George Lentaris, Dimitrios Soudris, Dionysios I. Reisis, Elissaios-Alexios Papatheofanous, Angelos Kyriakos, Aubrey Dunne, Arne Samuelsson, David Steenari:
FPGA & VPU Co-Processing in Space Applications: Development and Testing with DSP/AI Benchmarks. ICECS 2021: 1-5 - [c301]Konstantinos Maragos, George Lentaris, Dimitrios Soudris:
A PVT-Aware Voltage Scaling Method for Energy Efficient FPGAs. ISCAS 2021: 1-5 - [c300]Ioannis Stratakos, Elissaios-Alexios Papatheofanous, Dimitrios Danopoulos, George Lentaris, Dionysios I. Reisis, Dimitrios Soudris:
Towards sharing one FPGA SoC for both low-level PHY and high-level AI/ML computing at the edge. MeditCom 2021: 76-81 - [c299]Dimitrios Danopoulos, Konstantinos Anagnostopoulos, Christoforos Kachris, Dimitrios Soudris:
FPGA Acceleration of Generative Adversarial Networks for Image Reconstruction. MOCAST 2021: 1-5 - [c298]Vasileios Leon, Ioannis Stratakos, Giorgos Armeniakos, George Lentaris, Dimitrios Soudris:
ApproxQAM: High-Order QAM Demodulation Circuits with Approximate Arithmetic. MOCAST 2021: 1-5 - [c297]Achilleas Tzenetopoulos, Dimosthenis Masouros, Sotirios Xydis, Dimitrios Soudris:
Interference-Aware Workload Placement for Improving Latency Distribution of Converged HPC/Big Data Cloud Infrastructures. SAMOS 2021: 108-123 - [c296]Christos P. Lamprakos, Charalampos Marantos, Lazaros Papadopoulos, Dimitrios Soudris:
The Known Unknowns: Discovering Trade-Offs Between Heterogeneous Code Changes - Invited Paper. SAMOS 2021: 342-353 - [c295]Achilleas Tzenetopoulos, Charalampos Marantos, Giannos Gavrielides, Sotirios Xydis, Dimitrios Soudris:
FADE: FaaS-inspired application decomposition and Energy-aware function placement on the Edge. SCOPES 2021: 7-10 - [c294]Achilleas Tzenetopoulos, Evangelos Apostolakis, Aphrodite Tzomaka, Christos Papakostopoulos, Konstantinos Stavrakakis, Manolis Katsaragakis, Ioannis Oroutzoglou, Dimosthenis Masouros, Sotirios Xydis, Dimitrios Soudris:
FaaS and Curious: Performance Implications of Serverless Functions on Edge Computing Platforms. ISC Workshops 2021: 428-438 - [d2]Sotirios Panagiotou, Charalampos Sidiropoulos, Mario Negrello, Dimitrios Soudris, Christos Strydis:
Benchmark dataset for preprint: "EDEN: A high-performance, general-purpose, NeuroML-based neural simulator". Version 0.0.1. Zenodo, 2021 [all versions] - [d1]Sotirios Panagiotou, Charalampos Sidiropoulos, Mario Negrello, Dimitrios Soudris, Christos Strydis:
Benchmark dataset for preprint: "EDEN: A high-performance, general-purpose, NeuroML-based neural simulator". Version 0.0.1. Zenodo, 2021 [all versions] - 2020
- [j116]Konstantina Kanta, Annachiara Pagano, Eugenio Ruggeri, Mauro Agus, Ioannis Stratakos, Roberto Mercinelli, Christos Vagionas, Panagiotis Toumasis, George Kalfas, Giannis Giannoulis, Amalia N. Miliou, George Lentaris, Dimitrios Apostolopoulos, Nikos Pleros, Dimitrios Soudris, Hercules Avramopoulos:
Analog fiber-wireless downlink transmission of IFoF/mmWave over in-field deployed legacy PON infrastructure for 5G fronthauling. JOCN 12(10): D57-D65 (2020) - [j115]Farzad Samie, Vasileios Tsoutsouras, Dimosthenis Masouros, Lars Bauer, Dimitrios Soudris, Jörg Henkel:
Fast Operation Mode Selection for Highly Efficient IoT Edge Devices. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(3): 572-584 (2020) - [j114]Charalampos Marantos, Kostas Siozios, Dimitrios Soudris:
Rapid Prototyping of Low-Complexity Orchestrator Targeting CyberPhysical Systems: The Smart-Thermostat Usecase. IEEE Trans. Control. Syst. Technol. 28(5): 1831-1845 (2020) - [j113]George Lentaris, Ioannis Stratakos, Ioannis Stamoulias, Dimitrios Soudris, Manolis I. A. Lourakis, Xenophon Zabulis:
High-Performance Vision-Based Navigation on SoC FPGA for Spacecraft Proximity Operations. IEEE Trans. Circuits Syst. Video Technol. 30(4): 1188-1202 (2020) - [c293]Chrysostomos Karakasis, Konstantinos Machairas, Charalampos Marantos, Iosif S. Paraskevas, Evangelos Papadopoulos, Dimitrios Soudris:
Exploiting the SoC FPGA Capabilities in the Control Architecture of a Quadruped Robot. AIM 2020: 501-507 - [c292]Sotirios Panagiotou, Rene Miedema, Harry Sidiropoulos, Georgios Smaragdos, Christos Strydis, Dimitrios Soudris:
A novel simulator for extended Hodgkin-Huxley neural networks. BIBE 2020: 395-402 - [c291]Konstantinos Iliakis, Helga Timko, Sotirios Xydis, Dimitrios Soudris:
Scale-out beam longitudinal dynamics simulations. CF 2020: 29-38 - [c290]Sotirios Xydis, Eleftherios-Iordanis Christoforidis, Dimitrios Soudris:
DDOT: Data Driven Online Tuning for energy efficient acceleration. DAC 2020: 1-6 - [c289]Konstantinos Iliakis, Sotirios Xydis, Dimitrios Soudris:
Resource-Aware MapReduce Runtime for Multi/Many-core Architectures. DATE 2020: 897-902 - [c288]Dimitrios Danopoulos, Christoforos Kachris, Dimitrios Soudris:
Automatic Generation of FPGA Kernels From Open Format CNN Models. FCCM 2020: 237 - [c287]Miltiadis G. Siavvas, Dimitrios Tsoukalas, Charalampos Marantos, Angeliki-Agathi Tsintzira, Marija Jankovic, Dimitrios Soudris, Alexander Chatzigeorgiou, Dionisis D. Kehagias:
The SDK4ED Platform for Embedded Software Quality Improvement - Preliminary Overview. ICCSA (4) 2020: 1035-1050 - [c286]George Lentaris, George Chatzitsompanis, Vasileios Leon, Kiamal Z. Pekmestzi, Dimitrios Soudris:
Combining Arithmetic Approximation Techniques for Improved CNN Circuit Design. ICECS 2020: 1-4 - [c285]Manolis Katsaragakis, Lazaros Papadopoulos, Mario Konijnenburg, Francky Catthoor, Dimitrios Soudris:
Memory Footprint Optimization Techniques for Machine Learning Applications in Embedded Systems. ISCAS 2020: 1-4 - [c284]Arsinoe Pnevmatikou, George Lentaris, Dimitrios Soudris, Nikos Kokkalis:
Fast Packet Classification using RISC-V and HyperSplit Acceleration on FPGA. ISCAS 2020: 1-5 - [c283]Asim Zoulkatni, Christoforos Kachris, Dimitrios Soudris:
Hardware Acceleration of Decision Tree Learning Algorithm. MOCAST 2020: 1-6 - [c282]Charalampos Marantos, Angeliki-Agathi Tsintzira, Lazaros Papadopoulos, Apostolos Ampatzoglou, Alexander Chatzigeorgiou, Dimitrios Soudris:
Technical Debt Management and Energy Consumption Evaluation in Implantable Medical Devices: The SDK4ED Approach. SAMOS 2020: 348-358 - [c281]Ioannis Oroutzoglou, Dimosthenis Masouros, Konstantina Koliogeorgi, Sotirios Xydis, Dimitrios Soudris:
Exploration of GPU sharing policies under GEMM workloads. SCOPES 2020: 66-69 - [c280]Sotirios Panagiotou, August Ernstsson, Johan Ahlqvist, Lazaros Papadopoulos, Christoph W. Kessler, Dimitrios Soudris:
Portable exploitation of parallel and heterogeneous HPC architectures in neural simulation using SkePU. SCOPES 2020: 74-77 - [c279]Achilleas Tzenetopoulos, Dimosthenis Masouros, Sotirios Xydis, Dimitrios Soudris:
Interference-Aware Orchestration in Kubernetes. ISC Workshops 2020: 321-330 - [i5]Orestis Kaparounakis, Vasileios Tsoutsouras, Dimitrios Soudris, Phillip Stanley-Marbell:
Automated Physics-Derived Code Generation for Sensor Fusion and State Estimation. CoRR abs/2004.13873 (2020)
2010 – 2019
- 2019
- [j112]Dimosthenis Masouros, Sotirios Xydis, Dimitrios Soudris:
Rusty: Runtime System Predictability Leveraging LSTM Neural Networks. IEEE Comput. Archit. Lett. 18(2): 103-106 (2019) - [j111]Konstantinos Iliakis, Sotirios Xydis, Dimitrios Soudris:
LOOG: Improving GPU Efficiency With Light-Weight Out-Of-Order Execution. IEEE Comput. Archit. Lett. 18(2): 166-169 (2019) - [j110]Vasileios Leon, Sotirios Xydis, Dimitrios Soudris, Kiamal Z. Pekmestzi:
Energy-efficient VLSI implementation of multipliers with double LSB operands. IET Circuits Devices Syst. 13(6): 816-821 (2019) - [j109]George Chatzikonstantis, Harry Sidiropoulos, Christos Strydis, Mario Negrello, Georgios Smaragdos, Chris I. De Zeeuw, Dimitrios Soudris:
Multinode implementation of an extended Hodgkin-Huxley simulator. Neurocomputing 329: 370-383 (2019) - [j108]Michail Noltsis, Eleni Maragkoudaki, Dimitrios Rodopoulos, Francky Catthoor, Dimitrios Soudris:
Failure probability of a FinFET-based SRAM cell utilizing the most probable failure point. Integr. 69: 111-119 (2019) - [j107]Konstantinos Maragos, George Lentaris, Dimitrios Soudris:
In-the-Field Mitigation of Process Variability for Improved FPGA Performance. IEEE Trans. Computers 68(7): 1049-1063 (2019) - [j106]Zois-Gerasimos Tasoulas, Iraklis Anagnostopoulos, Lazaros Papadopoulos, Dimitrios Soudris:
A Message-Passing Microcoded Synchronization for Distributed Shared Memory Architectures. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 38(5): 975-979 (2019) - [j105]Georgios Zervakis, Sotirios Xydis, Dimitrios Soudris, Kiamal Z. Pekmestzi:
Multi-Level Approximate Accelerator Synthesis Under Voltage Island Constraints. IEEE Trans. Circuits Syst. II Express Briefs 66-II(4): 607-611 (2019) - [j104]George Lentaris, Konstantinos Maragos, Dimitrios Soudris, Xenophon Zabulis, Manolis I. A. Lourakis:
Single- and Multi-FPGA Acceleration of Dense Stereo Vision for Planetary Rovers. ACM Trans. Embed. Comput. Syst. 18(2): 16:1-16:27 (2019) - [j103]Michail Noltsis, Nikolaos Zambelis, Francky Catthoor, Dimitrios Soudris:
A Closed-Loop Controller to Ensure Performance and Temperature Constraints for Dynamic Applications. ACM Trans. Embed. Comput. Syst. 18(5): 40:1-40:24 (2019) - [j102]Farzad Samie, Vasileios Tsoutsouras, Lars Bauer, Sotirios Xydis, Dimitrios Soudris, Jörg Henkel:
Oops: Optimizing Operation-mode Selection for IoT Edge Devices. ACM Trans. Internet Techn. 19(2): 22:1-22:21 (2019) - [c278]Alexandros Neofytou, George Chatzikonstantis, Ioannis Magkanaris, Georgios Smaragdos, Christos Strydis, Dimitrios Soudris:
GPU Implementation of Neural-Network Simulations Based on Adaptive-Exponential Models. BIBE 2019: 339-343 - [c277]Ioannis Stratakos, Dimitrios Gourounas, Vasileios Tsoutsouras, Theodore L. Economopoulos, George K. Matsopoulos, Dimitrios Soudris:
Hardware Acceleration of Image Registration Algorithm on FPGA-based Systems on Chip. COINS 2019: 92-97 - [c276]Vasileios Leon, Konstantinos Asimakopoulos, Sotirios Xydis, Dimitrios Soudris, Kiamal Z. Pekmestzi:
Cooperative Arithmetic-Aware Approximation Techniques for Energy-Efficient Multipliers. DAC 2019: 160 - [c275]Dimosthenis Masouros, Konstantina Koliogeorgi, Georgios Zervakis, Alexandra Kosvyra, Achilleas Chytas, Sotirios Xydis, Ioanna Chouvarda, Dimitrios Soudris:
Co-design Implications of Cost-effective On-demand Acceleration for Cloud Healthcare Analytics: The AEGLE approach. DATE 2019: 622-625 - [c274]Elias Koromilas, Christoforos Kachris, Dimitrios Soudris, Francisco J. Ballesteros, Patricio Martínez, Ricardo Jiménez-Peris:
Modular FPGA Acceleration of Data Analytics in Heterogenous Computing. DATE 2019: 626-629 - [c273]Manolis Katsaragakis, Dimosthenis Masouros, Vasileios Tsoutsouras, Farzad Samie, Lars Bauer, Jörg Henkel, Dimitrios Soudris:
DMRM: Distributed Market-Based Resource Management of Edge Computing Systems. DATE 2019: 1391-1396 - [c272]Konstantinos Maragos, George Lentaris, Dimitrios Soudris, Vasilis F. Pavlidis:
PVT-Aware Sensing and Voltage Scaling for Energy Efficient FPGAs. FPGA 2019: 190 - [c271]Konstantinos Maragos, Endri Taka, George Lentaris, Ioannis Stratakos, Dimitrios Soudris:
Analysis of Performance Variation in 16nm FinFET FPGA Devices. FPL 2019: 38-44 - [c270]Konstantina Koliogeorgi, Nils Voss, Sotiria Fytraki, Sotirios Xydis, Georgi Gaydadjiev, Dimitrios Soudris:
Dataflow Acceleration of Smith-Waterman with Traceback for High Throughput Next Generation Sequencing. FPL 2019: 74-80 - [c269]Spyridon Mouselinos, Vasileios Leon, Sotirios Xydis, Dimitrios Soudris, Kiamal Z. Pekmestzi:
TF2FPGA: A Framework for Projecting and Accelerating Tensorflow CNNs on FPGA Platforms. MOCAST 2019: 1-4 - [c268]Georgios Tzanos, Christoforos Kachris, Dimitrios Soudris:
Hardware Acceleration on Gaussian Naive Bayes Machine Learning Algorithm. MOCAST 2019: 1-5 - [c267]Vasileios Kitsakis, Konstantina Kanta, Ioannis Stratakos, Giannis Giannoulis, Dimitrios Apostolopoulos, George Lentaris, Hercules Avramopoulos, Dimitrios Soudris, Dionysios I. Reisis:
Design of a Real-Time DSP Engine on RF-SoC FPGA for 5G Networks. ONDM 2019: 540-551 - [c266]Michail Noltsis, Nikolaos Zambelis, Francky Catthoor, Dimitrios Soudris:
A Synergy of a Closed-Loop DVFS Controller and CPU Hot-Plug For Run-Time Thermal Management in Multicore Systems. PATMOS 2019: 49-56 - [c265]Dimitrios Danopoulos, Christoforos Kachris, Dimitrios Soudris:
FPGA Acceleration of Approximate KNN Indexing on High- Dimensional Vectors. ReCoSoC 2019: 59-65 - [c264]Dimitrios Danopoulos, Christoforos Kachris, Dimitrios Soudris:
Approximate Similarity Search with FAISS Framework Using FPGAs on the Cloud. SAMOS 2019: 373-386 - 2018
- [j101]Eleftherios-Iordanis Christoforidis, Sotirios Xydis, Dimitrios Soudris:
CF-TUNE: Collaborative Filtering Auto-Tuning for Energy Efficient Many-Core Processors. IEEE Comput. Archit. Lett. 17(1): 25-28 (2018) - [j100]Konstantinos Iliakis, Sotirios Xydis, Dimitrios Soudris:
Decoupled MapReduce for Shared-Memory Multi-Core Architectures. IEEE Comput. Archit. Lett. 17(2): 143-146 (2018) - [j99]George Lentaris, Konstantinos Maragos, Ioannis Stratakos, Lazaros Papadopoulos, Odysseas Papanikolaou, Dimitrios Soudris, Manolis I. A. Lourakis, Xenophon Zabulis, David González Arjona, Gianluca Furano:
High-Performance Embedded Computing in Space: Evaluation of Platforms for Vision-Based Navigation. J. Aerosp. Inf. Syst. 15(4): 178-192 (2018) - [j98]Vasileios Leon, Georgios Zervakis, Sotirios Xydis, Dimitrios Soudris, Kiamal Z. Pekmestzi:
Walking through the Energy-Error Pareto Frontier of Approximate Multipliers. IEEE Micro 38(4): 40-49 (2018) - [j97]Foivos Tsimpourlas, Lazaros Papadopoulos, Anastasios Bartsokas, Dimitrios Soudris:
A Design Space Exploration Framework for Convolutional Neural Networks Implemented on Edge Devices. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(11): 2212-2221 (2018) - [j96]Farzad Samie, Vasileios Tsoutsouras, Lars Bauer, Sotirios Xydis, Dimitrios Soudris, Jörg Henkel:
Distributed Trade-Based Edge Device Management in Multi-Gateway IoT. ACM Trans. Cyber Phys. Syst. 2(3): 17:1-17:25 (2018) - [j95]Vasileios Tsoutsouras, Iraklis Anagnostopoulos, Dimosthenis Masouros, Dimitrios Soudris:
A Hierarchical Distributed Runtime Resource Management Scheme for NoC-Based Many-Cores. ACM Trans. Embed. Comput. Syst. 17(3): 65:1-65:26 (2018) - [j94]Efstathios Sotiriou-Xanthopoulos, Leonard Masing, Sotirios Xydis, Kostas Siozios, Jürgen Becker, Dimitrios Soudris:
OpenCL-based Virtual Prototyping and Simulation of Many-Accelerator Architectures. ACM Trans. Embed. Comput. Syst. 17(5): 86:1-86:27 (2018) - [j93]Vasileios Tsoutsouras, Sotirios Xydis, Dimitrios Soudris:
Application-Arrival Rate Aware Distributed Run-Time Resource Management for Many-Core Computing Platforms. IEEE Trans. Multi Scale Comput. Syst. 4(3): 285-298 (2018) - [j92]Michail Noltsis, Dimitrios Rodopoulos, Nikolaos Zompakis, Francky Catthoor, Dimitrios Soudris:
Runtime Slack Creation for Processor Performance Variability using System Scenarios. ACM Trans. Design Autom. Electr. Syst. 23(2): 24:1-24:23 (2018) - [j91]Vasileios Leon, Georgios Zervakis, Dimitrios Soudris, Kiamal Z. Pekmestzi:
Approximate Hybrid High Radix Encoding for Energy-Efficient Inexact Multipliers. IEEE Trans. Very Large Scale Integr. Syst. 26(3): 421-430 (2018) - [j90]Georgios Zervakis, Fotios Ntouskas, Sotirios Xydis, Dimitrios Soudris, Kiamal Z. Pekmestzi:
VOSsim: A Framework for Enabling Fast Voltage Overscaling Simulation for Approximate Computing Circuits. IEEE Trans. Very Large Scale Integr. Syst. 26(6): 1204-1208 (2018) - [c263]Konstantinos Maragos, Vasileios Leon, George Lentaris, Dimitrios Soudris, David González Arjona, Ruben Domingo, Antonio Pastor, David Merodio Codinachs, Isabelle Conway:
Evaluation Methodology and Reconfiguration Tests on the New European NG-MEDIUM FPGA. AHS 2018: 127-134 - [c262]Konstantinos Katsantonis, Christoforos Kachris, Dimitrios Soudris:
Efficient Hardware Acceleration of Recommendation Engines: A Use Case on Collaborative Filtering. ARC 2018: 67-78 - [c261]Christoforos Kachris, Ioannis Stamelos, Elias Koromilas, Dimitrios Soudris:
Seamless FPGA Deployment over Spark in Cloud Computing: A Use Case on Machine Learning Hardware Acceleration. ARC 2018: 673-684 - [c260]Athanasios Xygkis, Lazaros Papadopoulos, David Moloney, Dimitrios Soudris, Sofiane Yous:
Efficient winograd-based convolution kernel implementation on edge devices. DAC 2018: 136:1-136:6 - [c259]Harry Sidiropoulos, George Chatzikonstantis, Dimitrios Soudris, Christos Strydis:
The VINEYARD Framework for Heterogeneous Cloud Applications: The BrainFrame Case. DASIP 2018: 70-75 - [c258]Charalampos Marantos, Christos P. Lamprakos, Vasileios Tsoutsouras, Kostas Siozios, Dimitrios Soudris:
Towards plug&play smart thermostats inspired by reinforcement learning. INTESA@ESWEEK 2018: 39-44 - [c257]Konstantinos Maragos, George Lentaris, Ioannis Stratakos, Dimitrios Soudris:
A Framework Exploiting Process Variability to Improve Energy Efficiency in FPGA Applications. ACM Great Lakes Symposium on VLSI 2018: 87-92 - [c256]Vasileios Kitsakis, Elissaios-Alexios Papatheofanous, Dionisios I. Reisis, George Lentaris, Dimitrios Soudris:
Parity Based In-Place FFT Architecture for Continuous Flow Applications. ICECS 2018: 97-100 - [c255]Nikolaos Dimou, Manolis I. A. Lourakis, George Lentaris, Dimitrios Soudris, Dionysios I. Reisis:
Parallel Robust Absolute Orientation on FPGA for Vision and Robotics. ICECS 2018: 665-668 - [c254]Ioannis Stamelos, Elias Koromilas, Christoforos Kachris, Dimitrios Soudris:
A Novel Framework for the Seamless Integration of FPGA Accelerators with Big Data Analytics Frameworks in Heterogeneous Data Centers. HPCS 2018: 539-545 - [c253]Vatistas Kostalampros, Konstantinos Maragos, George Lentaris, Dimitrios Soudris, Christos Spatharakis, Nikos Argyris, Hercules Avramopoulos, Stefanos Dris, André Richter:
Carrier Phase Recovery of 64 GBd Optical 16-QAM Using Extensive Parallelization on an FPGA. ISCAS 2018: 1-5 - [c252]Dimitrios Danopoulos, Christoforos Kachris, Dimitrios Soudris:
Acceleration of image classification with Caffe framework using FPGA. MOCAST 2018: 1-4 - [c251]Charalampos Marantos, Nikolaos Karavalakis, Vasileios Leon, Vasileios Tsoutsouras, Kiamal Z. Pekmestzi, Dimitrios Soudris:
Efficient support vector machines implementation on Intel/Movidius Myriad 2. MOCAST 2018: 1-4 - [c250]Michail Noltsis, Panayiotis Englezakis, Eleni Maragkoudaki, Chrysostomos Nicopoulos, Dimitrios Rodopoulos, Francky Catthoor, Yiannakis Sazeides, Davide Zoni, Dimitrios Soudris:
Fast Estimations of Failure Probability Over Long Time Spans. NANOARCH 2018: 1-6 - [c249]Vatistas Kostalampros, Christos Spatharakis, Konstantinos Maragos, George Lentaris, Nikolaos Argyris, Stefanos Dris, André Richter, Hercules Avramopoulos, Dimitrios Soudris:
Real-Time Carrier Phase Recovery for 16-QAM Utilizing the Nonlinear Least Squares Algorithm. OFC 2018: 1-3 - [c248]Konstantinos Iliakis, Helga Timko, Sotirios Xydis, Dimitrios Soudris:
BLonD++: performance analysis and optimizations for enabling complex, accurate and fast beam dynamics studies. SAMOS 2018: 123-130 - [c247]Dimitrios Soudris, Lazaros Papadopoulos, Christoph W. Kessler, Dionysios D. Kehagias, Athanasios I. Papadopoulos, Panos Seferlis, Alexander Chatzigeorgiou, Apostolos Ampatzoglou, Samuel Thibault, Raymond Namyst, Dirk Pleiter, Georgi Gaydadjiev, Tobias Becker, Matthieu Haefele:
EXA2PRO programming environment: architecture and applications. SAMOS 2018: 202-209 - [c246]Christoforos Kachris, Dimitrios Soudris, Stelios Mavridis, Manolis Pavlidakis, Christi Symeonidou, Christos Kozanitis, Angelos Bilas, Damon Fenacci, Sharatchandra Varma Bogaraju, Hans Vandierendonck, Dimitrios S. Nikolopoulos:
The VINEYARD integrated framework for hardware accelerators in the cloud. SAMOS 2018: 236-243 - [c245]Lazaros Papadopoulos, Charalampos Marantos, Georgios Digkas, Apostolos Ampatzoglou, Alexander Chatzigeorgiou, Dimitrios Soudris:
Interrelations between Software Quality Metrics, Performance and Energy Consumption in Embedded Applications. SCOPES 2018: 62-65 - 2017
- [j89]Charalampos Marantos, Kostas Siozios, Dimitrios Soudris:
A Flexible Decision-Making Mechanism Targeting Smart Thermostats. IEEE Embed. Syst. Lett. 9(4): 105-108 (2017) - [j88]Ioannis Koutras, Konstantinos Maragos, Dionysios Diamantopoulos, Kostas Siozios, Dimitrios Soudris:
On supporting rapid prototyping of embedded systems with reconfigurable architectures. Integr. 58: 91-100 (2017) - [j87]Vasileios Tsoutsouras, Dimosthenis Masouros, Sotirios Xydis, Dimitrios Soudris:
SoftRM: Self-Organized Fault-Tolerant Resource Management for Failure Detection and Recovery in NoC Based Many-Cores. ACM Trans. Embed. Comput. Syst. 16(5s): 144:1-144:19 (2017) - [j86]George Chatzikonstantis, Dimitrios Rodopoulos, Christos Strydis, Chris I. De Zeeuw, Dimitrios Soudris:
Optimizing Extended Hodgkin-Huxley Neuron Model Simulations for a Xeon/Xeon Phi Node. IEEE Trans. Parallel Distributed Syst. 28(9): 2581-2594 (2017) - [j85]Christoforos Kachris, Dionysios Diamantopoulos, Georgios Ch. Sirakoulis, Dimitrios Soudris:
An FPGA-based Integrated MapReduce Accelerator Platform. J. Signal Process. Syst. 87(3): 357-369 (2017) - [j84]Konstantinos Maragos, Christos Spatharakis, George Lentaris, Panagiotis Kontzilas, Stefanos Dris, Paraskevas Bakopoulos, Hercules Avramopoulos, Dimitrios Soudris:
A Flexible, High-Performance FPGA Implementation of a Feed-Forward Equalizer for Optical Interconnects up to 112 Gb/s. J. Signal Process. Syst. 88(2): 107-125 (2017) - [j83]Vasileios Tsoutsouras, Konstantina Koliogeorgi, Sotirios Xydis, Dimitrios Soudris:
An Exploration Framework for Efficient High-Level Synthesis of Support Vector Machines: Case Study on ECG Arrhythmia Detection for Xilinx Zynq SoC. J. Signal Process. Syst. 88(2): 127-147 (2017) - [c244]Peter Figuli, Weiqiao Ding, Shalina Percy Delicia Figuli, Kostas Siozios, Dimitrios Soudris, Jürgen Becker:
Parameter Sensitivity in Virtual FPGA Architectures. ARC 2017: 141-153 - [c243]Helbert Arenas, Aurlie Baker, Damian Bargiel, Matthias Becker, Anna Bialczak, Francesco Carbone, Véronique Gaildrat, Sascha Heising, Md Bayzidul Islam, Philippe Lattes, Charalampos Marantos, Colette Menou, Josiane Mothe, Aude Nzeh Ngong, Iosif S. Paraskevas, Miguel Penalver, Paulina Sciana, Dimitrios Soudris:
FabSpaces at ImageCLEF 2017 - Population Estimation (remote) Task. CLEF (Working Notes) 2017 - [c242]Nikolaos Zompakis, Michail Noltsis, Lorena Ndreu, Zacharias Hadjilambrou, Panayiotis Englezakis, Panagiota Nikolaou, Antoni Portero, Simone Libutti, Giuseppe Massari, Federico Sassi, Alessandro Bacchini, Chrysostomos Nicopoulos, Yiannakis Sazeides, Radim Vavrík, Martin Golasowski, Jiri Sevcík, Vít Vondrák, Francky Catthoor, William Fornaciari, Dimitrios Soudris:
HARPA: Tackling physically induced performance variability. DATE 2017: 97-102 - [c241]Konstantinos Maragos, George Lentaris, Dimitrios Soudris, Kostas Siozios, Vasilis F. Pavlidis:
Application performance improvement by exploiting process variability on FPGA devices. DATE 2017: 452-457 - [c240]Christoforos Kachris, Elias Koromilas, Ioannis Stamelos, Dimitrios Soudris:
FPGA acceleration of spark applications in a Pynq cluster. FPL 2017: 1 - [c239]Stelios Mavridis, Emmanouil Pavlidakis, Ioannis Stamoulias, Christos Kozanitis, Nikolaos Chrysos, Christoforos Kachris, Dimitrios Soudris, Angelos Bilas:
VineTalk: Simplifying software access and sharing of FPGAs in datacenters. FPL 2017: 1-4 - [c238]Nikolaos Zompakis, Michail Noltsis, Dimitrios Rodopoulos, Francky Catthoor, Dimitrios Soudris:
Energy Efficient Adaptive Approach for Dependable Performance in the presence of Timing Interference. ACM Great Lakes Symposium on VLSI 2017: 209-214 - [c237]Ioannis Stamoulias, Matthias Möller, Rene Miedema, Christos Strydis, Christoforos Kachris, Dimitrios Soudris:
High-Performance Hardware Accelerators for Solving Ordinary Differential Equations. HEART 2017: 24:1-24:6 - [c236]Michail Doukas, Sotirios Xydis, Dimitrios Soudris:
Dataflow Acceleration of scikit-learn Gaussian Process Regression. PARMA-DITAM@HiPEAC 2017: 1-6 - [c235]Fabio Del Frate, Josiane Mothe, C. Barbier, Matthias Becker, Robert Olszewski, Dimitrios Soudris:
FabSpace 2.0: The open-innovation network for geodata-driven innovation. IGARSS 2017: 353-356 - [c234]Simon Vellas, George Lentaris, Konstantinos Maragos, Dimitrios Soudris, Zacharias Kandylakis, Konstantinos Karantzalos:
FPGA acceleration of hyperspectral image processing for high-speed detection applications. ISCAS 2017: 1-4 - [c233]George Lentaris, Ioannis Stratakos, Ioannis Stamoulias, Konstantinos Maragos, Dimitrios Soudris, Manolis I. A. Lourakis, Xenophon Zabulis, David González Arjona:
Project HIPNOS: Case Study of High Performance Avionics for Active Debris Removal in Space. ISVLSI 2017: 350-355 - [c232]Konstantina Koliogeorgi, Dimosthenis Masouros, Georgios Zervakis, Sotirios Xydis, Tobias Becker, Georgi Gaydadjiev, Dimitrios Soudris:
AEGLE's Cloud Infrastructure for Resource Monitoring and Containerized Accelerated Analytics. ISVLSI 2017: 362-367 - [c231]Elias Koromilas, Ioannis Stamelos, Christoforos Kachris, Dimitrios Soudris:
Spark acceleration on FPGAs: A use case on machine learning in Pynq. MOCAST 2017: 1-4 - [c230]Charalampos Marantos, Iosif S. Paraskevas, Kostas Siozios, Josiane Mothe, Colette Menou, Dimitrios Soudris:
FabSpace 2.0: A platform for application and service development based on Earth Observation data. MOCAST 2017: 1-4 - [c229]Dimosthenis Masouros, Ioannis Bakolas, Vasileios Tsoutsouras, Kostas Siozios, Dimitrios Soudris:
From edge to cloud: Design and implementation of a healthcare Internet of Things infrastructure. PATMOS 2017: 1-6 - [c228]Michail Noltsis, Eleni Maragkoudaki, Dimitrios Rodopoulos, Francky Catthoor, Dimitrios Soudris:
Failure probability of a FinFET-based SRAM cell utilizing the most probable failure point. PATMOS 2017: 1-8 - [c227]Zhuoran Zhao, Vasileios Tsoutsouras, Dimitrios Soudris, Andreas Gerstlauer:
Network/system co-simulation for design space exploration of IoT applications. SAMOS 2017: 46-53 - [c226]Christoforos Kachris, Elias Koromilas, Ioannis Stamelos, Dimitrios Soudris:
SPynq: Acceleration of machine learning applications over Spark on Pynq. SAMOS 2017: 70-77 - [c225]Harry Sidiropoulos, Ioannis Koutras, Dimitrios Soudris, Kostas Siozios:
Algorithmic and memory optimizations on multiple application mapping onto FPGAs. SAMOS 2017: 146-153 - [c224]Ioannis Stamoulias, Christoforos Kachris, Dimitrios Soudris:
Hardware accelerators for financial applications in HDL and High Level Synthesis. SAMOS 2017: 278-285 - [c223]George Chatzikonstantis, Diego Jiménez, Esteban Meneses, Christos Strydis, Harry Sidiropoulos, Dimitrios Soudris:
From Knights Corner to Landing: A Case Study Based on a Hodgkin-Huxley Neuron Simulator. ISC Workshops 2017: 363-375 - 2016
- [j82]Georgios Tzimpragos, Christoforos Kachris, Ivan B. Djordjevic, Milorad Cvijetic, Dimitrios Soudris, Ioannis Tomkos:
A Survey on FEC Codes for 100 G and Beyond Optical Networks. IEEE Commun. Surv. Tutorials 18(1): 209-221 (2016) - [j81]Panayiotis Danassis, Kostas Siozios, Dimitrios Soudris:
ANT3D: Simultaneous Partitioning and Placement for 3-D FPGAs based on Ant Colony Optimization. IEEE Embed. Syst. Lett. 8(2): 41-44 (2016) - [j80]Ioannis Koutras, Iraklis Anagnostopoulos, Alexandros Bartzas, Dimitrios Soudris:
Improving Dynamic Memory Allocation on Many-Core Embedded Systems With Distributed Shared Memory. IEEE Embed. Syst. Lett. 8(3): 57-60 (2016) - [j79]Lazaros Papadopoulos, Dimitrios Soudris, Ivan Walulya, Philippas Tsigas:
Customization methodology for implementation of streaming aggregation in embedded systems. J. Syst. Archit. 66-67: 48-60 (2016) - [j78]Vasileios Tsoutsouras, Dimitra Azariadi, Sotirios Xydis, Dimitrios Soudris:
Effective Learning and Filtering of Faulty Heart-Beats for Advanced ECG Arrhythmia Detection using MIT-BIH Database. EAI Endorsed Trans. Pervasive Health Technol. 2(8): e5 (2016) - [j77]Lazaros Papadopoulos, Ivan Walulya, Philippas Tsigas, Dimitrios Soudris:
A Systematic Methodology for Optimization of Applications Utilizing Concurrent Data Structures. IEEE Trans. Computers 65(7): 2019-2031 (2016) - [j76]Kostas Siozios, Dimitrios Soudris:
A Customizable Framework for Application Implementation onto 3-D FPGAs. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 35(11): 1783-1796 (2016) - [j75]George Lentaris, Ioannis Stamoulias, Dimitrios Soudris, Manolis I. A. Lourakis:
HW/SW Codesign and FPGA Acceleration of Visual Odometry Algorithms for Rover Navigation on Mars. IEEE Trans. Circuits Syst. Video Technol. 26(8): 1563-1577 (2016) - [j74]Maria-Iro Baka, Francky Catthoor, Dimitrios Soudris:
Near-Static Shading Exploration for Smart Photovoltaic Module Topologies Based on Snake-like Configurations. ACM Trans. Embed. Comput. Syst. 15(2): 27:1-27:21 (2016) - [j73]Efstathios Sotiriou-Xanthopoulos, Sotirios Xydis, Kostas Siozios, George Economakos, Dimitrios Soudris:
An Integrated Exploration and Virtual Platform Framework for Many-Accelerator Heterogeneous Systems. ACM Trans. Embed. Comput. Syst. 15(3): 43:1-43:26 (2016) - [j72]Efstathios Sotiriou-Xanthopoulos, Sotirios Xydis, Kostas Siozios, George Economakos, Dimitrios Soudris:
A Framework for Interconnection-Aware Domain-Specific Many-Accelerator Synthesis. ACM Trans. Embed. Comput. Syst. 16(1): 8:1-8:26 (2016) - [j71]Georgios Zervakis, Kostas Tsoumanis, Sotirios Xydis, Dimitrios Soudris, Kiamal Z. Pekmestzi:
Design-Efficient Approximate Multiplication Circuits Through Partial Product Perforation. IEEE Trans. Very Large Scale Integr. Syst. 24(10): 3105-3117 (2016) - [c222]Christoforos Kachris, Dimitrios Soudris, Georgi Gaydadjiev, Huy-Nam Nguyen, Dimitrios S. Nikolopoulos, Angelos Bilas, Neil Morgan, Christos Strydis, Christos Tsalidis, John Balafas, Ricardo Jiménez-Peris, Alexandre Almeida:
The VINEYARD Approach: Versatile, Integrated, Accelerator-Based, Heterogeneous Data Centres. ARC 2016: 3-13 - [c221]Stavros Tzilis, Ioannis Sourdis, Vasileios Vasilikos, Dimitrios Rodopoulos, Dimitrios Soudris:
Runtime management of adaptive MPSoCs for graceful degradation. CASES 2016: 5:1-5:10 - [c220]George Chatzikonstantis, Dimitrios Rodopoulos, Sofia Nomikou, Christos Strydis, Chris I. De Zeeuw, Dimitrios Soudris:
First impressions from detailed brain model simulations on a Xeon/Xeon-Phi node. Conf. Computing Frontiers 2016: 361-364 - [c219]Farzad Samie, Vasileios Tsoutsouras, Sotirios Xydis, Lars Bauer, Dimitrios Soudris, Jörg Henkel:
Distributed QoS management for internet of things under resource constraints. CODES+ISSS 2016: 9:1-9:10 - [c218]Dimitrios Rodopoulos, Philippe Roussel, Francky Catthoor, Yiannakis Sazeides, Dimitrios Soudris:
Approximating Standard Cell Delay Distributions by Reformulating the Most Probable Failure Point. ERMAVSS@DATE 2016: 13-16 - [c217]Apostolos Kokolis, Alexandros Mavrogiannis, Dimitrios Rodopoulos, Christos Strydis, Dimitrios Soudris:
Runtime interval optimization and dependable performance for application-level checkpointing. DATE 2016: 594-599 - [c216]Christoforos Kachris, Dimitrios Soudris:
A survey on reconfigurable accelerators for cloud computing. FPL 2016: 1-10 - [c215]Dimitrios Stamoulis, Simone Corbetta, Dimitrios Rodopoulos, Pieter Weckx, Peter Debacker, Brett H. Meyer, Ben Kaczer, Praveen Raghavan, Dimitrios Soudris, Francky Catthoor, Zeljko Zilic:
Capturing True Workload Dependency of BTI-induced Degradation in CPU Components. ACM Great Lakes Symposium on VLSI 2016: 373-376 - [c214]Andreas-Lazaros Georgiadis, Sotirios Xydis, Dimitrios Soudris:
Deploying and monitoring hadoop MapReduce analytics on single-chip cloud computer. PARMA-DITAM@HiPEAC 2016: 25-30 - [c213]Christos Spatharakis, Giannis Kanakis, Nikolaos Iliadis, Paraskevas Bakopoulos, Hercules Avramopoulos, Konstantinos Maragos, George Lentaris, Dimitrios Soudris:
A 56 Gbaud reconfigurable FPGA feed-forward equalizer for optical datacenter networks with flexible baudrate- and modulation-format. ICECS 2016: 356-359 - [c212]Themistoklis Melissaris, Iraklis Anagnostopoulos, Dimitrios Soudris, Dionysios I. Reisis:
Agora: Agent and market-based resource management for many-core systems. ICECS 2016: 400-403 - [c211]Michail Noltsis, Pieter Weckx, Dimitrios Rodopoulos, Francky Catthoor, Dimitrios Soudris:
Accuracy of Quasi-Monte Carlo technique in failure probability estimations. ICICDT 2016: 1-4 - [c210]Georgios Smaragdos, Georgios Chatzikonstantis, Sofia Nomikou, Dimitrios Rodopoulos, Ioannis Sourdis, Dimitrios Soudris, Chris I. De Zeeuw, Christos Strydis:
Performance analysis of accelerated biophysically-meaningful neuron simulations. ISPASS 2016: 1-11 - [c209]Konstantinos Railis, Vasileios Tsoutsouras, Sotirios Xydis, Dimitrios Soudris:
Energy profile analysis of Zynq-7000 programmable SoC for embedded medical processing: Study on ECG arrhythmia detection. PATMOS 2016: 275-282 - [c208]Ioannis Stratakos, Dionysios I. Reisis, George Lentaris, Konstantinos Maragos, Dimitrios Soudris:
A Co-Design Approach For Rapid Prototyping Of Image Processing On SoC FPGAs. PCI 2016: 57 - [c207]Ioannis Stamelos, Dimitrios Soudris, Christoforos Kachris:
Performance and energy evaluation of spark applications on low-power SoCs. SAMOS 2016: 300-305 - [c206]Georgios Zervakis, Sotirios Xydis, Dimitrios Soudris:
Performance-power exploration of software-defined big data analytics: The AEGLE cloud backend. SAMOS 2016: 312-319 - [c205]Kostas Siozios, Ioannis Savidis, Dimitrios Soudris:
A framework for exploring alternative fault-tolerant schemes targeting 3-D reconfigurable architectures. SAMOS 2016: 336-341 - [c204]Efstathios Sotiriou-Xanthopoulos, Leonard Masing, Kostas Siozios, George Economakos, Dimitrios Soudris, Jürgen Becker:
An OpenCL-based framework for rapid virtual prototyping of heterogeneous architectures. SAMOS 2016: 372-377 - [c203]Farzad Samie, Vasileios Tsoutsouras, Lars Bauer, Sotirios Xydis, Dimitrios Soudris, Jörg Henkel:
Computation offloading and resource allocation for low-power IoT edge devices. WF-IoT 2016: 7-12 - [i4]Georgios Smaragdos, Georgios Chatzikonstantis, Rahul Kukreja, Harry Sidiropoulos, Dimitrios Rodopoulos, Ioannis Sourdis, Zaid Al-Ars, Christoforos Kachris, Dimitrios Soudris, Chris I. De Zeeuw, Christos Strydis:
BrainFrame: A node-level heterogeneous accelerator platform for neuron simulations. CoRR abs/1612.01501 (2016) - 2015
- [j70]Dionysios Diamantopoulos, Sotirios Xydis, Kostas Siozios, Dimitrios Soudris:
Mitigating Memory-Induced Dark Silicon in Many-Accelerator Architectures. IEEE Comput. Archit. Lett. 14(2): 136-139 (2015) - [j69]Dimitrios Rodopoulos, Francky Catthoor, Dimitrios Soudris:
Tackling Performance Variability Due to RAS Mechanisms with PID-Controlled DVFS. IEEE Comput. Archit. Lett. 14(2): 156-159 (2015) - [j68]Dimitrios Rodopoulos, Georgia Psychou, Mohamed M. Sabry, Francky Catthoor, Antonis Papanikolaou, Dimitrios Soudris, Tobias G. Noll, David Atienza:
Classification Framework for Analysis and Modeling of Physically Induced Reliability Violations. ACM Comput. Surv. 47(3): 38:1-38:33 (2015) - [j67]Konstantinos Maragos, Kostas Siozios, Dimitrios Soudris:
An Evolutionary Algorithm for Netlist Partitioning Targeting 3-D FPGAs. IEEE Embed. Syst. Lett. 7(4): 117-120 (2015) - [j66]Lazaros Papadopoulos, Ivan Walulya, Paul Renaud-Goud, Philippas Tsigas, Dimitrios Soudris, Brendan Barry:
Performance and power consumption evaluation of concurrent queue implementations in embedded systems. Comput. Sci. Res. Dev. 30(2): 165-175 (2015) - [j65]Nikolaos Zompakis, Alexandros Bartzas, Dimitrios Soudris:
Using Chaos Theory based workload analysis to perform Dynamic Frequency Scaling on MPSoCs. J. Syst. Archit. 61(1): 28-39 (2015) - [j64]Christoforos Kachris, Georgios Ch. Sirakoulis, Dimitrios Soudris:
A MapReduce scratchpad memory for multi-core cloud computing applications. Microprocess. Microsystems 39(8): 599-608 (2015) - [j63]Dionysios Diamantopoulos, Kostas Siozios, Sotirios Xydis, Dimitrios Soudris:
GENESIS: Parallel Application Placement onto Reconfigurable Architectures (Invited for the Special Issue on Runtime Management). ACM Trans. Embed. Comput. Syst. 14(1): 18:1-18:26 (2015) - [j62]Miguel Peón Quirós, Alexandros Bartzas, Stylianos Mamagkakis, Francky Catthoor, Jose Manuel Mendias, Dimitrios Soudris:
Placement of Linked Dynamic Data Structures over Heterogeneous Memories in Embedded Systems. ACM Trans. Embed. Comput. Syst. 14(2): 37:1-37:30 (2015) - [j61]Dimitrios Rodopoulos, Antonis Papanikolaou, Francky Catthoor, Dimitrios Soudris:
Demonstrating HW-SW Transient Error Mitigation on the Single-Chip Cloud Computer Data Plane. IEEE Trans. Very Large Scale Integr. Syst. 23(3): 507-519 (2015) - [c202]Kostas Siozios, Peter Figuli, Harry Sidiropoulos, Carsten Tradowsky, Dionysios Diamantopoulos, Konstantinos Maragos, Shalina Percy Delicia, Dimitrios Soudris, Jürgen Becker:
TEAChER: TEach AdvanCEd Reconfigurable Architectures and Tools. ARC 2015: 103-114 - [c201]Dionysios Diamantopoulos, Sotirios Xydis, Kostas Siozios, Dimitrios Soudris:
Dynamic Memory Management in Vivado-HLS for Scalable Many-Accelerator Architectures. ARC 2015: 117-128 - [c200]Peter Figuli, Carsten Tradowsky, Jose Martinez, Harry Sidiropoulos, Kostas Siozios, Holger Stenschke, Dimitrios Soudris, Jürgen Becker:
A Novel Concept for Adaptive Signal Processing on Reconfigurable Hardware. ARC 2015: 311-320 - [c199]George Lentaris, Ioannis Stamoulias, Dionysios Diamantopoulos, Konstantinos Maragos, Kostas Siozios, Dimitrios Soudris, Marcos Avilés Rodrigálvarez, Manolis I. A. Lourakis, Xenophon Zabulis, Ioannis Kostavelis, Lazaros Nalpantidis, Evangelos Boukas, Antonios Gasteratos:
SPARTAN/SEXTANT/COMPASS: Advancing Space Rover Vision via Reconfigurable Platforms. ARC 2015: 475-486 - [c198]Vasileios Tsoutsouras, Sotirios Xydis, Dimitrios Soudris, Leonidas Lymperopoulos:
SWAN-iCARE Project: On the Efficiency of FPGAs Emulating Wearable Medical Devices for Wound Management and Monitoring. ARC 2015: 499-510 - [c197]Andreas Raptopoulos, Sotirios Xydis, Dimitrios Soudris:
Reconfigurable Computing for Analytics Acceleration of Big Bio-Data: The AEGLE Approach. ARC 2015: 531-541 - [c196]Vasileios Tsoutsouras, Sotirios Xydis, Dimitrios Soudris:
Job-Arrival Aware Distributed Run-Time Resource Management on Intel SCC Manycore Platform. EUC 2015: 17-24 - [c195]Andreas Raptopoulos, Vasileios Tsoutsouras, Dimitrios Soudris:
Advancing Integrated and Personalized Healthcare Services, the AEGLE Approach. EUC 2015: 154-157 - [c194]Dionysios Diamantopoulos, Sotirios Xydis, Kostas Siozios, Dimitrios Soudris:
High-Level-Synthesis extensions for scalable Single-Chip Many-Accelerators on FPGAs. FPL 2015: 1-2 - [c193]Efstathios Sotiriou-Xanthopoulos, Sotirios Xydis, Kostas Siozios, George Economakos, Dimitrios Soudris:
Rapid prototyping and Design Space Exploration methodologies for many-accelerator systems. FPL 2015: 1-2 - [c192]Dimitrios Stamoulis, Dimitrios Rodopoulos, Brett H. Meyer, Dimitrios Soudris, Francky Catthoor, Zeljko Zilic:
Efficient Reliability Analysis of Processor Datapath using Atomistic BTI Variability Models. ACM Great Lakes Symposium on VLSI 2015: 57-62 - [c191]Elias Kouskoumvekakis, Dimitrios Soudris, Elias S. Manolakos:
Many-core CPUs can deliver scalable performance to stochastic simulations of large-scale biochemical reaction networks. HPCS 2015: 517-524 - [c190]Georgios Zervakis, Sotirios Xydis, Kostas Tsoumanis, Dimitrios Soudris, Kiamal Z. Pekmestzi:
Hybrid approximate multiplier architectures for improved power-accuracy trade-offs. ISLPED 2015: 79-84 - [c189]Thomas Papastergiou, Lazaros Papadopoulos, Dimitrios Soudris:
Platform-aware dynamic data type refinement methodology for radix tree Data Structures. SAMOS 2015: 78-85 - [c188]Dimitrios Soudris, Sotirios Xydis, Christos Baloukas, Anastasia Hadzidimitriou, Ioanna Chouvarda, Kostas Stamatopoulos, Nicos Maglaveras, John Chang, Andreas Raptopoulos, David Manset, Barbara K. Pierscionek, Reem Kayyali, Nada Y. Philip, Tobias Becker, Katerina Vaporidi, Eumorphia Kondili, Dimitrios Georgopoulos, Lesley Ann Sutton, Richard Rosenquist, Lydia Scarfo, Paolo Ghia:
AEGLE: A big bio-data analytics framework for integrated health-care services. SAMOS 2015: 246-253 - [c187]Dimitrios Rodopoulos, Simone Corbetta, Giuseppe Massari, Simone Libutti, Francky Catthoor, Yiannakis Sazeides, Chrysostomos Nicopoulos, Antoni Portero, Etienne Cappe, Radim Vavrík, Vít Vondrák, Dimitrios Soudris, Federico Sassi, Agnes Fritsch, William Fornaciari:
HARPA: Solutions for dependable performance under physically induced performance variability. SAMOS 2015: 270-277 - [c186]Lazaros Papadopoulos, Dimitrios Soudris:
An Energy Efficient Message Passing Synchronization Algorithm for Concurrent Data Structures in Embedded Systems. SCOPES 2015: 113-116 - [e5]Kentaro Sano, Dimitrios Soudris, Michael Hübner, Pedro C. Diniz:
Applied Reconfigurable Computing - 11th International Symposium, ARC 2015, Bochum, Germany, April 13-17, 2015, Proceedings. Lecture Notes in Computer Science 9040, Springer 2015, ISBN 978-3-319-16213-3 [contents] - [e4]Dimitrios Soudris, Luigi Carro:
2015 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, SAMOS 2015, Samos, Greece, July 19-23, 2015. IEEE 2015, ISBN 978-1-4673-7311-1 [contents] - 2014
- [j60]Ioannis Kostavelis, Lazaros Nalpantidis, Evangelos Boukas, Marcos Avilés Rodrigálvarez, Ioannis Stamoulias, George Lentaris, Dionysios Diamantopoulos, Kostas Siozios, Dimitrios Soudris, Antonios Gasteratos:
SPARTAN: Developing a Vision System for Future Autonomous Space Exploration Robots. J. Field Robotics 31(1): 107-140 (2014) - [j59]Harry Sidiropoulos, Kostas Siozios, Dimitrios Soudris:
A novel 3-D FPGA architecture targeting communication intensive applications. J. Syst. Archit. 60(1): 32-39 (2014) - [j58]Efstathios Sotiriou-Xanthopoulos, Dionysios Diamantopoulos, Kostas Siozios, George Economakos, Dimitrios Soudris:
A framework for rapid evaluation of heterogeneous 3-D NoC architectures. Microprocess. Microsystems 38(4): 292-303 (2014) - [j57]Dionysios Diamantopoulos, Efstathios Sotiriou-Xanthopoulos, Kostas Siozios, George Economakos, Dimitrios Soudris:
Plug&Chip: A Framework for Supporting Rapid Prototyping of 3D Hybrid Virtual SoCs. ACM Trans. Embed. Comput. Syst. 13(5s): 168:1-168:25 (2014) - [j56]Kostas Siozios, Dimitrios Soudris, Michael Hübner:
A Framework for Supporting Adaptive Fault-Tolerant Solutions. ACM Trans. Embed. Comput. Syst. 13(5s): 169:1-169:22 (2014) - [c185]Nikolaos Zompakis, Iason Filippopoulos, Per Gunnar Kjeldsberg, Francky Catthoor, Dimitrios Soudris:
Systematic Exploration of Power-Aware Scenarios for IEEE 802.11ac WLAN Systems. DSD 2014: 28-35 - [c184]Christoforos Kachris, Georgios Ch. Sirakoulis, Dimitrios Soudris:
A configurable mapreduce accelerator for multi-core FPGAs (abstract only). FPGA 2014: 241 - [c183]Efstathios Sotiriou-Xanthopoulos, Sotirios Xydis, Kostas Siozios, George Economakos, Dimitrios Soudris:
Effective Platform-Level Exploration for Heterogeneous Multicores Exploiting Simulation-Induced Slacks. PARMA-DITAM@HiPEAC 2014: 13-16 - [c182]Konstantinos Gyftakis, Iraklis Anagnostopoulos, Dimitrios Soudris, Dionysios I. Reisis:
A MapReduce framework implementation for Network-on-Chip platforms. ICECS 2014: 120-123 - [c181]Dimitrios Stamoulis, Dimitrios Rodopoulos, Brett H. Meyer, Dimitrios Soudris, Zeljko Zilic:
Linear regression techniques for efficient analysis of transistor variability. ICECS 2014: 267-270 - [c180]Dimitrios Rodopoulos, Dimitrios Stamoulis, Grigorios Lyras, Dimitrios Soudris, Francky Catthoor:
Understanding timing impact of BTI/RTN with massively threaded atomistic transient simulations. ICICDT 2014: 1-4 - [c179]Harry Sidiropoulos, Kostas Siozios, Dimitrios Soudris:
A Framework for Mapping Dynamic Virtual Kernels onto Heterogeneous Reconfigurable Platforms. IPDPS Workshops 2014: 170-175 - [c178]Kostas Siozios, Dimitrios Soudris, Michael Hübner:
A Framework for Customizing Virtual 3-D Reconfigurable Platforms at Run-Time. IPDPS Workshops 2014: 183-188 - [c177]Georgios Tzimpragos, Christoforos Kachris, Dimitrios Soudris, Ioannis Tomkos:
A Low-Latency Algorithm and FPGA Design for the Min-Search of LDPC Decoders. IPDPS Workshops 2014: 269-274 - [c176]Christoforos Kachris, Georgios Ch. Sirakoulis, Dimitrios Soudris:
A Reconfigurable MapReduce accelerator for multi-core all-programmable SoCs. ISSoC 2014: 1-6 - [c175]Efstathios Sotiriou-Xanthopoulos, Sotirios Xydis, Kostas Siozios, George Economakos, Dimitrios Soudris:
Hardware accelerated rician denoise algorithm for high performance magnetic resonance imaging. MobiHealth 2014: 222-225 - [c174]Isabelle Texier, Sotirios Xydis, Dimitrios Soudris, Pierre R. Marcoux, Pascale Pham, Marie Muller, Marc Correvon, Gabriela Dudnik, Guy Voirin, Jan Kristenssen, Massimo Laurenza, Andreas Raptopoulos, Carl Saxby, Thierry Navarro, Fabio Di Francesco, Pietro Salvo, Marco Romanelli, Leonidas Lymberopoulos:
SWAN-iCare project: Towards smart wearable and autonomous negative pressure device for wound monitoring and therapy. MobiHealth 2014: 357-360 - [c173]Vasileios Tsoutsouras, Sotirios Xydis, Dimitrios Soudris:
A HW/SW framework emulating wearable devices for remote wound monitoring and management. MobiHealth 2014: 369-372 - [c172]Lazaros Papadopoulos, Ivan Walulya, Philippas Tsigas, Dimitrios Soudris, Brendan Barry:
Evaluation of message passing synchronization algorithms in embedded systems. ICSAMOS 2014: 282-289 - [c171]Dimitrios Rodopoulos, Giorgos Chatzikonstantis, Andreas Pantelopoulos, Dimitrios Soudris, Chris I. De Zeeuw, Christos Strydis:
Optimal mapping of inferior olive neuron simulations on the Single-Chip Cloud Computer. ICSAMOS 2014: 367-374 - [i3]Christoforos Kachris, Georgios Ch. Sirakoulis, Dimitrios Soudris:
Network Function Virtualization based on FPGAs: A Framework for all-Programmable network devices. CoRR abs/1406.0309 (2014) - 2013
- [j55]Konstantinos Tatas, Kostas Siozios, Alexandros Bartzas, Costas Kyriacou, Dimitrios Soudris:
A Novel Prototyping and Evaluation Framework for NoC-Based MPSoC. Int. J. Adapt. Resilient Auton. Syst. 4(3): 1-24 (2013) - [j54]Nikolaos Zompakis, Antonis Papanikolaou, Praveen Raghavan, Dimitrios Soudris, Francky Catthoor:
Enabling Efficient System Configurations for Dynamic Wireless Applications Using System Scenarios. Int. J. Wirel. Inf. Networks 20(2): 140-156 (2013) - [j53]Harry Sidiropoulos, Kostas Siozios, Dimitrios Soudris:
On supporting rapid exploration of memory hierarchies onto FPGAs. J. Syst. Archit. 59(2): 78-90 (2013) - [j52]Kostas Siozios, Dimitrios Soudris:
A low-cost fault tolerant solution targeting commercial FPGA devices. J. Syst. Archit. 59(10-D): 1255-1265 (2013) - [j51]Nikolaos Zompakis, Alexandros Bartzas, Francky Catthoor, Dimitrios Soudris:
System scenarios-based architecture level exploration of SDR application using a network-on-chip simulation framework. Microprocess. Microsystems 37(6-7): 544-553 (2013) - [j50]Iraklis Anagnostopoulos, Jean-Michel Chabloz, Ioannis Koutras, Alexandros Bartzas, Ahmed Hemani, Dimitrios Soudris:
Power-aware dynamic memory management on many-core platforms utilizing DVFS. ACM Trans. Embed. Comput. Syst. 13(1s): 40:1-40:25 (2013) - [j49]Harry Sidiropoulos, Kostas Siozios, Peter Figuli, Dimitrios Soudris, Michael Hübner, Jürgen Becker:
JITPR: A framework for supporting fast application's implementation onto FPGAs. ACM Trans. Reconfigurable Technol. Syst. 6(2): 7:1-7:12 (2013) - [c170]Iraklis Anagnostopoulos, Vasileios Tsoutsouras, Alexandros Bartzas, Dimitrios Soudris:
Distributed run-time resource management for malleable applications on many-core platforms. DAC 2013: 168:1-168:6 - [c169]Grigorios Lyras, Dimitrios Rodopoulos, Antonis Papanikolaou, Dimitrios Soudris:
Hypervised transient SPICE simulations of large netlists & workloads on multi-processor systems. DATE 2013: 655-658 - [c168]Harry Sidiropoulos, Peter Figuli, Kostas Siozios, Dimitrios Soudris, Jürgen Becker:
A platform-independent runtime methodology for mapping multiple applications onto FPGAs through resource virtualization. FPL 2013: 1-4 - [c167]Georgios Tzimpragos, Christoforos Kachris, Dimitrios Soudris, Ioannis Tomkos:
A low-complexity implementation of QC-LDPC encoder in reconfigurable logic. FPL 2013: 1-4 - [c166]Dionysios Diamantopoulos, Kostas Siozios, Efstathios Sotiriou-Xanthopoulos, George Economakos, Dimitrios Soudris:
HVSoCs: A Framework for Rapid Prototyping of 3-D Hybrid Virtual System-on-Chips. IPDPS Workshops 2013: 2194-2199 - [c165]Kostas Siozios, Dimitrios Soudris, Michael Hübner:
On Supporting Adaptive Fault Tolerant at Run-Time with Virtual FPGAs. IPDPS Workshops 2013: 2206-2211 - [c164]Dionysios Diamantopoulos, Christoforos E. Economakos, Dimitrios Soudris, George Economakos:
A new design paradigm for floating point DSP applications based on ESL/HLS and FPGAs? ISSPIT 2013: 404-409 - [c163]Georgios Tzimpragos, Christoforos Kachris, Dimitrios Soudris, Ioannis Tomkos:
Automatic implementation of low-complexity QC-LDPC encoders. PATMOS 2013: 243-246 - [c162]Georgios Tzimpragos, Christoforos Kachris, Dimitrios Soudris, Ioannis Tomkos:
Automatic implementation of low-complexity QC-LDPC encoders. PATMOS 2013: 257-260 - [c161]Efstathios Sotiriou-Xanthopoulos, Kostas Siozios, George Economakos, Dimitrios Soudris:
A Process-based Reconfigurable SystemC Module for simulation speedup. ICSAMOS 2013: 72-79 - [c160]Isabelle Texier, Pierre R. Marcoux, Pascale Pham, Marie Muller, Pierre-Yves Benhamou, Marc Correvon, Gabriela Dudnik, Guy Voirin, Natascha Bue, Jan Cristensen, Massimo Laurenza, Giuseppe Gazzara, Andreas Raptopoulos, Alexandros Bartzas, Dimitrios Soudris, Carl Saxby, Thierry Navarro, Fabio Di Francesco, Pietro Salvo, Marco Romanelli, Battistino Paggi, Leonidas Lymperopoulos:
SWAN-iCare: A smart wearable and autonomous negative pressure device for wound monitoring and therapy. ICSAMOS 2013: 137-144 - 2012
- [j48]Iraklis Anagnostopoulos, Alexandros Bartzas, Iasonas Filippopoulos, Dimitrios Soudris:
High-level customization framework for application-specific NoC architectures. Des. Autom. Embed. Syst. 16(4): 339-361 (2012) - [j47]Sotirios Xydis, Kiamal Z. Pekmestzi, Dimitrios Soudris, George Economakos:
Compiler-in-the-loop exploration during datapath synthesis for higher quality delay-area trade-offs. ACM Trans. Design Autom. Electr. Syst. 18(1): 11:1-11:35 (2012) - [j46]Kostas Siozios, Vasilis F. Pavlidis, Dimitrios Soudris:
A novel framework for exploring 3-D FPGAs with heterogeneous interconnect fabric. ACM Trans. Reconfigurable Technol. Syst. 5(1): 4:1-4:23 (2012) - [j45]Dionysios Diamantopoulos, Kostas Siozios, Sotirios Xydis, Dimitrios Soudris:
A Systematic Methodology for Reliability Improvements on SoC-Based Software Defined Radio Systems. VLSI Design 2012: 784945:1-784945:15 (2012) - [c159]Kostas Siozios, Dimitrios Soudris:
A low-cost fault tolerant solution targeting to commercial FPGA devices. AHS 2012: 46-53 - [c158]Dionysios Diamantopoulos, Kostas Siozios, George Lentaris, Dimitrios Soudris, Marcos Avilés Rodrigálvarez:
SPARTAN project: On profiling computer vision algorithms for rover navigation. AHS 2012: 174-181 - [c157]Ioannis Koutras, Alexandros Bartzas, Dimitrios Soudris:
Efficient Memory Allocations on a Many-Core Accelerator. ARCS Workshops 2012: 327-338 - [c156]Lazaros Papadopoulos, Alexandros Bartzas, Dimitrios Soudris:
Run-Time Dynamic Data Type Transformations. ARCS Workshops 2012: 351-362 - [c155]Christoforos Kachris, Georgios Ch. Sirakoulis, Dimitrios Soudris:
Performance Evaluation of Embedded Processor in MapReduce Cloud Computing Applications. CloudComp 2012: 43-51 - [c154]Iraklis Anagnostopoulos, Alexandros Bartzas, Georgios Kathareios, Dimitrios Soudris:
A divide and conquer based distributed run-time mapping methodology for many-core platforms. DATE 2012: 111-116 - [c153]George Lentaris, Dionysios Diamantopoulos, Kostas Siozios, Dimitrios Soudris, Marcos Avilés Rodrigálvarez:
Hardware implementation of stereo correspondence algorithm for the ExoMars mission. FPL 2012: 667-670 - [c152]Cristina Silvano, William Fornaciari, Stefano Crespi-Reghizzi, Giovanni Agosta, Gianluca Palermo, Vittorio Zaccaria, Patrick Bellasi, Fabrizio Castro, Simone Corbetta, Ettore Speziale, Diego Melpignano, J. M. Zins, David Siorpaes, Heiko Hübert, Benno Stabernack, Jens Brandenburg, Martin Palkovic, Praveen Raghavan, Chantal Ykman-Couvreur, Alexandros Bartzas, Dimitrios Soudris, Torsten Kempf, Gerd Ascheid, Heinrich Meyr, Junaid Ansari, Petri Mähönen, Bart Vanthournout:
Parallel paradigms and run-time management techniques for many-core architectures: the 2PARMA approach. INA-OCMC@HiPEAC 2012: 39-42 - [c151]George Lentaris, Dionysios Diamantopoulos, G. Stamoulias, Kostas Siozios, Dimitrios Soudris, Marcos Avilés Rodrigálvarez:
FPGA-based path-planning of high mobility rover for future planetary missions. ICECS 2012: 85-88 - [c150]Harry Sidiropoulos, Kostas Siozios, Peter Figuli, Dimitrios Soudris, Michael Hübner:
On Supporting Efficient Partial Reconfiguration with Just-In-Time Compilation. IPDPS Workshops 2012: 328-335 - [c149]Dimitris Bekiaris, Ioannis Kosmadakis, George I. Stassinopoulos, Dimitrios Soudris, Theodore Laopoulos, Gregory Doumenis, Stylianos Siskos:
Run-Time Measurement of Harvested Energy for Autarkic Sensor Operation. PATMOS 2012: 185-193 - [c148]Dimitris Bekiaris, Efstathios Sotiriou-Xanthopoulos, George Economakos, Dimitrios Soudris:
Systematic design and evaluation of a scalable reconfigurable multiplier scheme for HLS environments. ReCoSoC 2012: 1-8 - [c147]Ioannis Koutras, Alexandros Bartzas, Dimitrios Soudris:
Adaptive dynamic memory allocators by estimating application workloads. ICSAMOS 2012: 252-259 - 2011
- [j44]Kostas Siozios, Dimitrios Rodopoulos, Dimitrios Soudris:
On Supporting Rapid Thermal Analysis. IEEE Comput. Archit. Lett. 10(2): 53-56 (2011) - [j43]Iraklis Anagnostopoulos, Sotirios Xydis, Alexandros Bartzas, Zhonghai Lu, Dimitrios Soudris, Axel Jantsch:
Custom Microcoded Dynamic Memory Management for Distributed On-Chip Memory Organizations. IEEE Embed. Syst. Lett. 3(2): 66-69 (2011) - [j42]Kostas Siozios, Dimitrios Soudris:
A Tabu-Based Partitioning and Layer Assignment Algorithm for 3-D FPGAs. IEEE Embed. Syst. Lett. 3(3): 97-100 (2011) - [j41]Nikolas Kroupis, Dimitrios Soudris:
FILESPPA: Fast Instruction Level Embedded System Power and Performance Analyzer. Microprocess. Microsystems 35(3): 329-342 (2011) - [j40]Sotirios Xydis, George Economakos, Dimitrios Soudris, Kiamal Z. Pekmestzi:
High Performance and Area Efficient Flexible DSP Datapath Synthesis. IEEE Trans. Very Large Scale Integr. Syst. 19(3): 429-442 (2011) - [c146]Kostas Siozios, Dimitrios Rodopoulos, Dimitrios Soudris:
Quick_Hotspot: A Software Supported Methodology for Supporting Run-Time Thermal Analysis at MPSoC Designs. ARCS Workshops 2011 - [c145]Kostas Siozios, Dimitrios Soudris:
Trading Fault-Masking with Performance Overhead for FPGAs. ARCS Workshops 2011 - [c144]Sotirios Xydis, Ioannis S. Stamelakos, Alexandros Bartzas, Dimitrios Soudris:
Runtime Tuning of Dynamic Memory Management For Mitigating Footprint-Fragmentation Variations. ARCS Workshops 2011 - [c143]Harry Sidiropoulos, Kostas Siozios, Dimitrios Soudris:
A Framework for Architecture-Level Exploration of Communication Intensive Applications onto 3-D FPGAs. FPL 2011: 30-33 - [c142]Harry Sidiropoulos, Kostas Siozios, Dimitrios Soudris:
A Methodology and Tool Framework for Supporting Rapid Exploration of Memory Hierarchies in FPGAs. FPL 2011: 238-243 - [c141]Dionysios Diamantopoulos, Panagiotis Galiatsatos, Athanasios Karachalios, George Lentaris, Dionisios I. Reisis, Dimitrios Soudris:
Configurable baseband digital transceiver for Gbps wireless 60 GHz communications. ICECS 2011: 192-195 - [c140]Filippos Toufexis, Antonis Papanikolaou, Dimitrios Soudris, George I. Stamoulis, Sotiris Bantas:
Power, performance and area prediction of 3D ICs during early stage design exploration in 45nm. ICECS 2011: 715-718 - [c139]Efstathios Sotiriou-Xanthopoulos, Dionysios Diamantopoulos, George Economakos, Dimitrios Soudris:
Design and experimentation with low-power morphable multipliers. ICECS 2011: 752-755 - [c138]Michael Hübner, Peter Figuli, Romuald Girardey, Dimitrios Soudris, Kostas Siozios, Jürgen Becker:
A Heterogeneous Multicore System on Chip with Run-Time Reconfigurable Virtual FPGA Architecture. IPDPS Workshops 2011: 143-149 - [c137]Kostas Siozios, Antonis Papanikolaou, Dimitrios Soudris:
CAD tools for designing 3D integrated systems. ISCAS 2011: 2229-2232 - [c136]Harry Sidiropoulos, Kostas Siozios, Dimitrios Soudris:
A Framework for Architecture-Level Exploration of 3-D FPGA Platforms. PATMOS 2011: 298-307 - [c135]Dimitris Bekiaris, George Economakos, Efstathios Sotiriou-Xanthopoulos, Dimitrios Soudris:
Low-Power Reconfigurable Component Utilization in a High-Level Synthesis Flow. ReConFig 2011: 428-433 - [c134]Cristina Silvano, William Fornaciari, Stefano Crespi-Reghizzi, Giovanni Agosta, Gianluca Palermo, Vittorio Zaccaria, Patrick Bellasi, Fabrizio Castro, Simone Corbetta, Ettore Speziale, Diego Melpignano, J. M. Zins, Heiko Hübert, Benno Stabernack, Jens Brandenburg, Martin Palkovic, Praveen Raghavan, Chantal Ykman-Couvreur, Iraklis Anagnostopoulos, Alexandros Bartzas, Dimitrios Soudris, Torsten Kempf, Gerd Ascheid, Junaid Ansari, Petri Mähönen, Bart Vanthournout:
Invited paper: Parallel programming and run-time resource management framework for many-core platforms: The 2PARMA approach. ReCoSoC 2011: 1-7 - [c133]Kostas Siozios, Dionysios Diamantopoulos, Ioannis Kostavelis, Evangelos Boukas, Lazaros Nalpantidis, Dimitrios Soudris, Antonios Gasteratos, Marcos Avilés, Iraklis Anagnostopoulos:
SPARTAN project: Efficient implementation of computer vision algorithms onto reconfigurable platform targeting to space applications. ReCoSoC 2011: 1-9 - [c132]Dionysios Diamantopoulos, Kostas Siozios, Sotirios Xydis, Dimitrios Soudris:
Thermal optimization for micro-architectures through selective block replication. ICSAMOS 2011: 59-66 - [c131]Nikolaos Zompakis, Antonis Papanikolaou, Praveen Raghavan, Dimitrios Soudris, Francky Catthoor:
Enabling efficient system configurations for dynamic wireless baseband engines using system scenarios. SiPS 2011: 305-310 - [e3]Wolfgang Karl, Dimitrios Soudris:
ARCS 2011 - 24th International Conference on Architecture of Computing Systems 2011, Workshop Proceedings, February 22-23, 2011, Como, Italy. VDE-Verlag 2011, ISBN 978-3-8007-3333-0 [contents] - 2010
- [j39]Kostas Siozios, Dimitrios Soudris:
A Methodology for Alleviating the Performance Degradation of TMR Solutions. IEEE Embed. Syst. Lett. 2(4): 111-114 (2010) - [j38]Kostas Siozios, Dimitrios Soudris, Antonios Thanailakis:
A Novel Allocation Methodology for Partial and Dynamic Bitstream Generation for FPGA Architectures. J. Circuits Syst. Comput. 19(3): 701-717 (2010) - [j37]Alexandros Bartzas, Miguel Peón Quirós, Christophe Poucet, Christos Baloukas, Stylianos Mamagkakis, Francky Catthoor, Dimitrios Soudris, Jose Manuel Mendias:
Software metadata: Systematic characterization of the memory behaviour of dynamic applications. J. Syst. Softw. 83(6): 1051-1075 (2010) - [c130]Kostas Siozios, Dimitrios Soudris, Dionisios N. Pnevmatikatos:
A Framework for Enabling Fault Tolerance in Reconfigurable Architectures. ARC 2010: 257-268 - [c129]Nikolaos Zompakis, Vasileios Tsoutsouras, Alexandros Bartzas, Dimitrios Soudris, Georgios P. Pavlos:
Dynamic Frequency Scaling for MPSoCs based on Chaotic Workload Analysis. ARCS Workshops 2010: 305-312 - [c128]Alienor Richard, Dragomir Milojevic, Frédéric Robert, Alexandros Bartzas, Antonis Papanikolaou, Kostas Siozios, Dimitrios Soudris:
Fast Design Space Exploration Environment Applied on NoC's for 3D-Stacked MPSoC's. ARCS Workshops 2010: 319-324 - [c127]Arindam Mallik, Peter Marwedel, Dimitrios Soudris, Sander Stuijk:
MNEMEE: a framework for memory management and optimization of static and dynamic data in MPSoCs. CASES 2010: 257-258 - [c126]Yiannis Iosifidis, Arindam Mallik, Stylianos Mamagkakis, Eddy de Greef, Alexandros Bartzas, Dimitrios Soudris, Francky Catthoor:
A framework for automatic parallelization, static and dynamic memory optimization in MPSoC platforms. DAC 2010: 549-554 - [c125]George Economakos, Sotirios Xydis, Ioannis Koutras, Dimitrios Soudris:
Construction of dual mode components for reconfiguration aware high-level synthesis. DATE 2010: 1357-1360 - [c124]Harry Sidiropoulos, Kostas Siozios, Dimitrios Soudris:
NAROUTO: An open-source framework for supporting architecture-level exploration at heterogeneous FPGAS. ICECS 2010: 527-530 - [c123]Ioannis Koutras, Antonis Papanikolaou, George Economakos, Dimitrios Soudris:
BIT-width exploration over 3D architectures using high-level synthesis. ICECS 2010: 535-538 - [c122]Kostas Siozios, Iraklis Anagnostopoulos, Dimitrios Soudris:
Multiple Vdd on 3D NoC architectures. ICECS 2010: 831-834 - [c121]Sotirios Xydis, Christos Skouroumounis, Kiamal Z. Pekmestzi, Dimitrios Soudris, George Economakos:
Designing efficient DSP datapaths through compiler-in-the-loop exploration methodology. ISCAS 2010: 2598-2601 - [c120]Cristina Silvano, William Fornaciari, Stefano Crespi-Reghizzi, Giovanni Agosta, Gianluca Palermo, Vittorio Zaccaria, Patrick Bellasi, Fabrizio Castro, Simone Corbetta, Andrea Di Biagio, Ettore Speziale, Michele Tartara, Diego Melpignano, J. M. Zins, David Siorpaes, Heiko Hübert, Benno Stabernack, Jens Brandenburg, Martin Palkovic, Praveen Raghavan, Chantal Ykman-Couvreur, Alexandros Bartzas, Sotirios Xydis, Dimitrios Soudris, Torsten Kempf, Gerd Ascheid, Rainer Leupers, Heinrich Meyr, Junaid Ansari, Petri Mähönen, Bart Vanthournout:
2PARMA: Parallel Paradigms and Run-time Management Techniques for Many-Core Architectures. ISVLSI (Selected papers) 2010: 65-79 - [c119]Sotirios Xydis, Christos Skouroumounis, Kiamal Z. Pekmestzi, Dimitrios Soudris, George Economakos:
Efficient High Level Synthesis Exploration Methodology Combining Exhaustive and Gradient-Based Pruned Searching. ISVLSI 2010: 104-109 - [c118]Sotirios Xydis, Kiamal Z. Pekmestzi, Dimitrios Soudris, George Economakos:
A High Level Synthesis Exploration Framework with Iterative Design Space Partitioning. ISVLSI (Selected papers) 2010: 117-131 - [c117]Iasonas Filippopoulos, Iraklis Anagnostopoulos, Alexandros Bartzas, Dimitrios Soudris, George Economakos:
Systematic Exploration of Energy-Efficient Application-Specific Network-on-Chip Architectures. ISVLSI 2010: 133-138 - [c116]Christos Baloukas, Lazaros Papadopoulos, Dimitrios Soudris, Sander Stuijk, Olivera Jovanovic, Florian Schmoll, Peter Marwedel, Daniel Cordes, Robert Pyka, Arindam Mallik, Stylianos Mamagkakis, François Capman, Séverin Collet, Nikolaos Mitas, Dimitrios Kritharidis:
Mapping Embedded Applications on MPSoCs: The MNEMEE Approach. ISVLSI (Selected papers) 2010: 165-179 - [c115]Bernard Candaele, Sylvain Aguirre, Michel Sarlotte, Iraklis Anagnostopoulos, Sotirios Xydis, Alexandros Bartzas, Dimitris Bekiaris, Dimitrios Soudris, Zhonghai Lu, Xiaowen Chen, Jean-Michel Chabloz, Ahmed Hemani, Axel Jantsch, Geert Vanmeerbeeck, Jari Kreku, Kari Tiensyrjä, Fragkiskos Ieromnimon, Dimitrios Kritharidis, Andreas Wiefrink, Bart Vanthournout, Philippe Martin:
The MOSART Mapping Optimization for Multi-Core ARchiTectures. ISVLSI (Selected papers) 2010: 181-195 - [c114]Kostas Siozios, Iraklis Anagnostopoulos, Dimitrios Soudris:
A High-Level Mapping Algorithm Targeting 3D NoC Architectures with Multiple Vdd. ISVLSI 2010: 444-445 - [c113]Kostas Siozios, Dimitrios Soudris, Dionisios N. Pnevmatikatos:
Towards Supporting Fault-Tolerance in FPGAs. ISVLSI 2010: 446-447 - [c112]Sotirios Xydis, Kiamal Z. Pekmestzi, Dimitrios Soudris, George Economakos:
High-Level Synthesis Methodologies for Delay-Area Optimized Coarse-Grained Reconfigurable Coprocessor Architectures. ISVLSI 2010: 486-487 - [c111]Cristina Silvano, William Fornaciari, Stefano Crespi-Reghizzi, Giovanni Agosta, Gianluca Palermo, Vittorio Zaccaria, Patrick Bellasi, Fabrizio Castro, Simone Corbetta, Andrea Di Biagio, Ettore Speziale, Michele Tartara, David Siorpaes, Heiko Hübert, Benno Stabernack, Jens Brandenburg, Martin Palkovic, Praveen Raghavan, Chantal Ykman-Couvreur, Alexandros Bartzas, Sotirios Xydis, Dimitrios Soudris, Torsten Kempf, Gerd Ascheid, Rainer Leupers, Heinrich Meyr, Junaid Ansari, Petri Mähönen, Bart Vanthournout:
2PARMA: Parallel Paradigms and Run-Time Management Techniques for Many-Core Architectures. ISVLSI 2010: 494-499 - [c110]Christos Baloukas, Lazaros Papadopoulos, Dimitrios Soudris, Sander Stuijk, Olivera Jovanovic, Florian Schmoll, Daniel Cordes, Robert Pyka, Arindam Mallik, Stylianos Mamagkakis, François Capman, Séverin Collet, Nikolaos Mitas, Dimitrios Kritharidis:
Mapping Embedded Applications on MPSoCs: The MNEMEE Approach. ISVLSI 2010: 512-517 - [c109]Bernard Candaele, Sylvain Aguirre, Michel Sarlotte, Iraklis Anagnostopoulos, Sotirios Xydis, Alexandros Bartzas, Dimitris Bekiaris, Dimitrios Soudris, Zhonghai Lu, Xiaowen Chen, Jean-Michel Chabloz, Ahmed Hemani, Axel Jantsch, Geert Vanmeerbeeck, Jari Kreku, Kari Tiensyrjä, Fragkiskos Ieromnimon, Dimitrios Kritharidis, Andreas Wiefrink, Bart Vanthournout, Philippe Martin:
Mapping Optimisation for Scalable Multi-core ARchiTecture: The MOSART Approach. ISVLSI 2010: 518-523 - [c108]Dimitris Bekiaris, Antonis Papanikolaou, Christos Papameletis, Dimitrios Soudris, George Economakos, Kiamal Z. Pekmestzi:
A Temperature-Aware Time-Dependent Dielectric Breakdown Analysis Framework. PATMOS 2010: 73-83 - [c107]Sotirios Xydis, Alexandros Bartzas, Iraklis Anagnostopoulos, Dimitrios Soudris, Kiamal Z. Pekmestzi:
Custom multi-threaded Dynamic Memory Management for Multiprocessor System-on-Chip platforms. ICSAMOS 2010: 102-109 - [c106]Christos Baloukas, Lazaros Papadopoulos, Robert Pyka, Dimitrios Soudris, Peter Marwedel:
An automatic framework for dynamic data structures optimization in C. VLSI-SoC 2010: 155-160 - [e2]Christian Piguet, Ricardo Reis, Dimitrios Soudris:
VLSI-SoC: Design Methodologies for SoC and SiP - 16th IFIP WG 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2008, Rhodes Island, Greece, October 13-15, 2008, Revised Selected Papers. IFIP Advances in Information and Communication Technology 313, Springer 2010, ISBN 978-3-642-12266-8 [contents]
2000 – 2009
- 2009
- [j36]Nikolas Kroupis, Dimitrios Soudris:
High-level estimation methodology for designing the instruction cache memory of programmable embedded platforms. IET Comput. Digit. Tech. 3(2): 205-221 (2009) - [j35]Marios Kesoulis, Christos S. Koukourlis, John N. Lygouras, Dimitrios Soudris, John N. Sahalos:
Design and implementation of a DDS-based multi-carrier GMSK modulator. Int. J. Commun. Syst. 22(8): 971-987 (2009) - [j34]Alexandros Bartzas, Lazaros Papadopoulos, Dimitrios Soudris:
A system-level design methodology for application-specific networks-on-chip. J. Embed. Comput. 3(3): 167-177 (2009) - [j33]Alexandros Bartzas, Miguel Peón Quirós, Stylianos Mamagkakis, Francky Catthoor, Dimitrios Soudris, Jose Manuel Mendias:
Direct memory access usage optimization in network applications for reduced memory latency and energy consumption. J. Embed. Comput. 3(3): 241-254 (2009) - [j32]Kostas Siozios, Dimitrios Soudris:
Designing a novel high-performance FPGA architecture for data intensive applications. J. Real Time Image Process. 4(2): 155-166 (2009) - [j31]Christos Baloukas, José Luis Risco-Martín, David Atienza, Christophe Poucet, Lazaros Papadopoulos, Stylianos Mamagkakis, Dimitrios Soudris, José Ignacio Hidalgo, Francky Catthoor, Juan Lanchares:
Optimization methodology of dynamic data structures based on genetic algorithms for multimedia embedded systems. J. Syst. Softw. 82(4): 590-602 (2009) - [c105]Kostas Siozios, Vasilis F. Pavlidis, Dimitrios Soudris:
A software-supported methodology for exploring interconnection architectures targeting 3-D FPGAs. DATE 2009: 172-177 - [c104]Nikolas Kroupis, Praveen Raghavan, Murali Jayapala, Francky Catthoor, Dimitrios Soudris:
Compilation Technique for Loop Overhead Minimization. DSD 2009: 419-426 - [c103]Iraklis Anagnostopoulos, Alexandros Bartzas, Ioannis Vourkas, Dimitrios Soudris:
Node resource management for DSP applications on 3D Network-on-Chip architecture. DPS 2009: 1-6 - [c102]Kostas Siozios, Dimitrios Soudris, George Economakos:
Three dimensional FPGA architectures: A shift paradigm for energy-performance efficient DSP implementations. DPS 2009: 1-6 - [c101]Iraklis Anagnostopoulos, Alexandros Bartzas, Dimitrios Soudris:
Application-Specific Temperature Reduction Systematic Methodology for 2D and 3D Networks-on-Chip. PATMOS 2009: 86-95 - [c100]Nikolaos Zompakis, Martin Trautmann, Alexandros Bartzas, Stylianos Mamagkakis, Dimitrios Soudris, Liesbet Van der Perre, Francky Catthoor:
Multi-granularity NoC Simulation Framework for Early Phase Exploration of SDR Hardware Platforms. PATMOS 2009: 165-174 - [c99]Alexandros Bartzas, Christos Baloukas, Dimitrios Soudris, Konstantinos Potamianos, Fragkiskos Ieromnimon, Nikolaos S. Voros:
Dynamic Data Type Optimization and Memory Assignment Methodologies. PATMOS 2009: 175-185 - 2008
- [j30]Kostas Siozios, Alexandros Bartzas, Dimitrios Soudris:
Architecture-Level Exploration of Alternative Interconnection Schemes Targeting 3D FPGAs: A Software-Supported Methodology. Int. J. Reconfigurable Comput. 2008: 764942:1-764942:18 (2008) - [j29]Kostas Siozios, Dimitrios Soudris, Antonios Thanailakis:
Designing a General-Purpose Interconnection Architecture for Field Programmable Gate Arrays. J. Low Power Electron. 4(1): 34-47 (2008) - [j28]Kostas Siozios, Dimitrios Soudris:
A Power-Aware Placement and Routing Algorithm Targeting 3D FPGAs. J. Low Power Electron. 4(3): 275-289 (2008) - [j27]Lazaros Papadopoulos, Christos Baloukas, Dimitrios Soudris:
Exploration methodology of dynamic data structures in multimedia and network applications for embedded platforms. J. Syst. Archit. 54(11): 1030-1038 (2008) - [j26]Minas Dasygenis, K. Mitroglou, Dimitrios Soudris, Adonios Thanailakis:
A Full-Adder-Based Methodology for the Design of Scaling Operation in Residue Number System. IEEE Trans. Circuits Syst. I Regul. Pap. 55-I(2): 546-558 (2008) - [c98]Sotirios Xydis, George Economakos, Dimitrios Soudris, Kiamal Z. Pekmestzi:
Mapping DSP Applications onto High-Performance Architectural Templates with Inlined Flexibility. AHS 2008: 346-353 - [c97]Alexandros Bartzas, Miguel Peón Quirós, Stylianos Mamagkakis, Francky Catthoor, Dimitrios Soudris, Jose Manuel Mendias:
Enabling run-time memory data transfer optimizations at the system level with automated extraction of embedded software metadata information. ASP-DAC 2008: 434-439 - [c96]Kostas Siozios, Dimitrios Soudris:
An Efficient Approach for Managing Power Consumption Hotspots Distribution on 3D FPGAs. PATMOS 2008: 439-448 - [c95]Kostas Siozios, Dimitrios Soudris:
A Temperature-Aware Placement and Routing Algorithm Targeting 3D FPGAs. VLSI-SoC (Selected Papers) 2008: 211-231 - [c94]Nikolas Kroupis, Dimitrios Soudris:
Fast Instruction Memory Hierarchy Power Exploration for Embedded Systems. VLSI-SoC (Selected Papers) 2008: 251-270 - 2007
- [j25]Marios Kesoulis, Dimitrios Soudris, Christos S. Koukourlis, Adonios Thanailakis:
Systematic methodology for designing low power direct digital frequency synthesisers. IET Circuits Devices Syst. 1(4): 293-304 (2007) - [j24]Laurence Tianruo Yang, José G. Delgado-Frias, Yiming Li, Mohammed Y. Niamat, Dimitrios Soudris, Srinivasa Vemuru:
Preface. Integr. 40(2): 61 (2007) - [j23]Konstantinos Tatas, George Koutroumpezis, Dimitrios Soudris, Adonios Thanailakis:
Architecture design of a coarse-grain reconfigurable multiply-accumulate unit for data-intensive applications. Integr. 40(2): 74-93 (2007) - [j22]Stylianos Mamagkakis, Alexandros Bartzas, Georgios Pouiklis, David Atienza, Francky Catthoor, Dimitrios Soudris, Antonios Thanailakis:
Systematic methodology for exploration of performance - Energy trade-offs in network applications using Dynamic Data Type refinement. J. Syst. Archit. 53(7): 417-436 (2007) - [j21]Michalis D. Galanis, Athanasios Milidonis, George Theodoridis, Dimitrios Soudris, Constantinos E. Goutis:
Automated framework for partitioning DSP applications in hybrid reconfigurable platforms. Microprocess. Microsystems 31(1): 1-14 (2007) - [c93]Lazaros Papadopoulos, Christos Baloukas, Dimitrios Soudris, Konstantinos Potamianos, Nikolaos S. Voros:
Data Structure Exploration of Dynamic Applications. PACT 2007: 421 - [c92]Kostas Siozios, Stelios Mamagkakis, Dimitrios Soudris, Antonios Thanailakis:
Designing Heterogeneous FPGAs with Multiple SBs. ARC 2007: 91-96 - [c91]Stylianos Mamagkakis, Dimitrios Soudris, Francky Catthoor:
Middleware design optimization of wireless protocols based on the exploitation of dynamic input patterns. DATE 2007: 1036-1041 - [c90]Christos Baloukas, Lazaros Papadopoulos, Stylianos Mamagkakis, Dimitrios Soudris:
Component Based Library Implementation of Abstract Data Types for Resource Management Customization of Embedded Systems. ESTIMedia 2007: 99-104 - [c89]Kostas Siozios, Kostas Sotiriadis, Vasilis F. Pavlidis, Dimitrios Soudris:
Exploring Alternative 3D FPGA Architectures: Design Methodology and CAD Tool Support. FPL 2007: 652-655 - [c88]Kostas Siozios, Dimitrios Soudris:
A Novel Methodology for Temperature-Aware Placement and Routing of FPGAs. ISVLSI 2007: 55-60 - [c87]Lazaros Papadopoulos, Stylianos Mamagkakis, Francky Catthoor, Dimitrios Soudris:
Application - specific NoC platform design based on System Level Optimization. ISVLSI 2007: 311-316 - [c86]Lazaros Papadopoulos, Dimitrios Soudris:
System-Level Application-Specific NoC Design for Network and Multimedia Applications. PATMOS 2007: 1-9 - [c85]Miguel Peón Quirós, Alexandros Bartzas, Stylianos Mamagkakis, Francky Catthoor, Jose Manuel Mendias, Dimitrios Soudris:
Direct Memory Access Optimization in Wireless Terminals for Reduced Memory Latency and Energy Consumption. PATMOS 2007: 373-383 - [c84]Nikolas Kroupis, Dimitrios Soudris:
Design Methodology and Software Tool for Estimation of Multi-level Instruction Cache Memory Miss Rate. PATMOS 2007: 505-515 - [c83]Lazaros Papadopoulos, Christos Baloukas, Nikolaos Zompakis, Dimitrios Soudris:
Systematic Data Structure Exploration of Multimedia and Network Applications realized Embedded Systems. ICSAMOS 2007: 58-65 - [c82]David Atienza, Christos Baloukas, Lazaros Papadopoulos, Christophe Poucet, Stylianos Mamagkakis, José Ignacio Hidalgo, Francky Catthoor, Dimitrios Soudris, Juan Lanchares:
Optimization of dynamic data structures in multimedia embedded systems using evolutionary computation. SCOPES 2007: 31-40 - [c81]Kostas Siozios, Kostas Sotiriadis, Vasilis F. Pavlidis, Dimitrios Soudris:
A software-supported methodology for designing high-performance 3D FPGA architectures. VLSI-SoC 2007: 54-59 - [c80]Nikolaos Zompakis, Lazaros Papadopoulos, Georgios Ch. Sirakoulis, Dimitrios Soudris:
Implementing cellular automata modeled applications on network-on-chip platforms. VLSI-SoC 2007: 288-291 - [i2]Minas Dasygenis, Erik Brockmeyer, Bart Durinck, Francky Catthoor, Dimitrios Soudris, Antonios Thanailakis:
A Memory Hierarchical Layer Assigning and Prefetching Technique to Overcome the Memory Performance/Energy Bottleneck. CoRR abs/0710.4656 (2007) - [i1]Michalis D. Galanis, Athanasios Milidonis, George Theodoridis, Dimitrios Soudris, Constantinos E. Goutis:
A Partitioning Methodology for Accelerating Applications in Hybrid Reconfigurable Platforms. CoRR abs/0710.4844 (2007) - 2006
- [j20]Stylianos Mamagkakis, Christos Baloukas, David Atienza, Francky Catthoor, Dimitrios Soudris, Antonios Thanailakis:
Reducing memory fragmentation in network applications with dynamic memory allocators optimized for performance. Comput. Commun. 29(13-14): 2612-2620 (2006) - [j19]David Atienza, Stylianos Mamagkakis, Francesco Poletti, Jose Manuel Mendias, Francky Catthoor, Luca Benini, Dimitrios Soudris:
Efficient system-level prototyping of power-aware dynamic memory managers for embedded systems. Integr. 39(2): 113-130 (2006) - [j18]Athanasios Kakarountas, Nikolaos D. Zervas, George Theodoridis, Haralambos Michail, Dimitrios Soudris:
Power Management Through Dynamic Frequency Scaling for Low and Medium Bit-Rate Digital Receivers. J. Low Power Electron. 2(3): 356-364 (2006) - [j17]David Atienza, Jose Manuel Mendias, Stylianos Mamagkakis, Dimitrios Soudris, Francky Catthoor:
Systematic dynamic memory management design methodology for reduced memory footprint. ACM Trans. Design Autom. Electr. Syst. 11(2): 465-489 (2006) - [j16]Minas Dasygenis, Erik Brockmeyer, Bart Durinck, Francky Catthoor, Dimitrios Soudris, Adonios Thanailakis:
A combined DMA and application-specific prefetching approach for tackling the memory latency bottleneck. IEEE Trans. Very Large Scale Integr. Syst. 14(3): 279-291 (2006) - [j15]Nikolas Kroupis, Nikolaos D. Zervas, Minas Dasygenis, Konstantinos Tatas, Antonios Argyriou, Dimitrios Soudris, Antonios Thanailakis:
Behavioral-Level Performance and Power Exploration of Data-Intensive Applications Mapped on Programmable Processors. J. VLSI Signal Process. 44(1-2): 153-171 (2006) - [c79]Alexandros Bartzas, Stylianos Mamagkakis, Georgios Pouiklis, David Atienza, Francky Catthoor, Dimitrios Soudris, Antonios Thanailakis:
Dynamic data type refinement methodology for systematic performance-energy design exploration of network applications. DATE 2006: 740-745 - [c78]Stylianos Mamagkakis, David Atienza, Christophe Poucet, Francky Catthoor, Dimitrios Soudris, Jose Manuel Mendias:
Automated exploration of pareto-optimal configurations in parameterized dynamic memory allocation for embedded systems. DATE 2006: 874-875 - [c77]Stylianos Mamagkakis, David Atienza, Christophe Poucet, Francky Catthoor, Dimitrios Soudris:
Energy-efficient dynamic memory allocators at the middleware level of embedded systems. EMSOFT 2006: 215-222 - [c76]Nikolas Kroupis, Stylianos Mamagkakis, Dimitrios Soudris:
An Estimation Methodology for Designing Instruction Cache Memory of Embedded Systems. ESTIMedia 2006: 21-26 - [c75]Kostas Siozios, Konstantinos Tatas, Dimitrios Soudris, Antonios Thanailakis:
A novel methodology for designing high-performance and low-energy FPGA routing architecture. FPGA 2006: 224 - [c74]Kostas Siozios, Dimitrios Soudris:
Wire Segment Length and Switch Box Co-Optimization for FPGA Architectures. FPL 2006: 1-4 - [c73]Kostas Siozios, Konstantinos Tatas, Dimitrios Soudris, Adonios Thanailakis:
Platform-based FPGA architecture: designing high-performance and low-power routing structure for realizing DSP applications. IPDPS 2006 - [c72]Alexandros Bartzas, Miguel Peón Quirós, Stylianos Mamagkakis, David Atienza, Francky Catthoor, Dimitrios Soudris, Jose Manuel Mendias:
Systematic design flow for dynamic data management in visual texture decoder of MPEG-4. ISCAS 2006 - [c71]Kostas Siozios, Dimitrios Soudris, Adonios Thanailakis:
A novel methodology for designing high-performance and low-power FPGA interconnection targeting DSP applications. ISCAS 2006 - [c70]Kostas Siozios, Dimitrios Soudris, Antonios Thanailakis:
Designing Alternative FPGA Implementations Using Spatial Data from Hardware Resources. PATMOS 2006: 403-414 - [c69]Kostas Siozios, Dimitrios Soudris, Antonios Thanailakis:
Efficient Power Management Strategy of FPGAs Using a Novel Placement Technique. VLSI-SoC 2006: 204-209 - 2005
- [j14]Michalis D. Galanis, Athanasios Milidonis, George Theodoridis, Dimitrios Soudris, Costas E. Goutis:
A method for partitioning applications in hybrid reconfigurable architectures. Des. Autom. Embed. Syst. 10(1): 27-47 (2005) - [j13]Kostas Siozios, George Koutroumpezis, Konstantinos Tatas, Nikolaos Vassiliadis, Vasilios Kalenteridis, Haroula Pournara, Ilias Pappas, Dimitrios Soudris, Antonios Thanailakis, Spiridon Nikolaidis, Stilianos Siskos:
A Novel FPGA Architecture and an Integrated Framework of CAD Tools for Implementing Applications. IEICE Trans. Inf. Syst. 88-D(7): 1369-1380 (2005) - [j12]Konstantinos Tatas, Dimitrios Soudris, D. Siomos, Adonios Thanailakis:
A Novel Division Algorithm and Architectures for Parallel and Sequential Processing. J. Circuits Syst. Comput. 14(2): 281-296 (2005) - [j11]Konstantinos Tatas, Dimitrios Soudris, Adonios Thanailakis:
Memory power optimization of hardware implementations of multimedia applications onto FPGA platforms. J. Embed. Comput. 1(3): 353-362 (2005) - [j10]Vasilios Kalenteridis, Haroula Pournara, Kostas Siozios, Konstantinos Tatas, Nikolaos Vassiliadis, Ilias Pappas, George Koutroumpezis, Spiridon Nikolaidis, Stilianos Siskos, D. J. Soudris:
A complete platform and toolset for system implementation on fine-grain reconfigurable hardware. Microprocess. Microsystems 29(6): 247-259 (2005) - [j9]Nikolaos D. Zervas, George Theodoridis, Dimitrios Soudris:
Behavioral-level event-driven power management for DECT digital receivers. Microelectron. J. 36(2): 163-172 (2005) - [c68]Dimitrios Soudris, Spiridon Nikolaidis, Stilianos Siskos, Konstantinos Tatas, Kostas Siozios, George Koutroumpezis, Nikolaos Vassiliadis, Vasilios Kalenteridis, Haroula Pournara, Ilias Pappas, Adonios Thanailakis:
AMDREL: a novel low-energy FPGA architecture and supporting CAD tool design flow. ASP-DAC 2005: 3-4 - [c67]Minas Dasygenis, Erik Brockmeyer, Bart Durinck, Francky Catthoor, Dimitrios Soudris, Antonios Thanailakis:
A Memory Hierarchical Layer Assigning and Prefetching Technique to Overcome the Memory Performance/Energy Bottleneck. DATE 2005: 946-947 - [c66]Kostas Siozios, Konstantinos Tatas, George Koutroumpezis, D. J. Soudris, Adonios Thanailakis:
An Integrated Framework for Architecture Level Exploration of Reconfigurable Platform. FPL 2005: 658-661 - [c65]Kostas Siozios, Dimitrios Soudris, Adonios Thanailakis:
A Low-Energy FPGA: Architecture Design and Software-Supported Design Flow. FPL 2005: 707-708 - [c64]Michalis D. Galanis, Athanasios Milidonis, George Theodoridis, Dimitrios Soudris, Constantinos E. Goutis:
A Framework for Partitioning Computational Intensive Applications in Hybrid Reconfigurable Platforms. IPDPS 2005 - [c63]Kostas Siozios, George Koutroumpezis, Konstantinos Tatas, Dimitrios Soudris, Adonios Thanailakis:
DAGGER: A Novel Generic Methodology for FPGA Bitstream Generation and Its Software Tool Implementation. IPDPS 2005 - [c62]Michalis D. Galanis, Athanasios Milidonis, George Theodoridis, Dimitrios Soudris, Constantinos E. Goutis:
A methodology for partitioning DSP applications in hybrid reconfigurable systems. ISCAS (2) 2005: 1206-1209 - [c61]Nikolas Kroupis, Minas Dasygenis, Kleoniki Markou, Dimitrios Soudris, Adonios Thanailakis:
A modified spiral search motion estimation algorithm and its embedded system implementation. ISCAS (4) 2005: 3347-3350 - [c60]Minas Dasygenis, Erik Brockmeyer, Francky Catthoor, Dimitrios Soudris, Antonios Thanailakis:
Improving the Memory Bandwidth Utilization Using Loop Transformations. PATMOS 2005: 117-126 - [c59]Nikolas Kroupis, Minas Dasygenis, Dimitrios Soudris, Antonios Thanailakis:
A Modified Spiral Search Algorithm and its Embedded Hardware Implementation. IEC (Prague) 2005: 375-378 - [c58]Stylianos Mamagkakis, Christos Baloukas, David Atienza, Francky Catthoor, Dimitrios Soudris, José M. Mendías, Antonios Thanailakis:
Reducing Memory Fragmentation with Performance-Optimized Dynamic Memory Allocators in Network Applications. WWIC 2005: 354-364 - 2004
- [j8]Christos Drosos, Chrissavgi Dre, Dimitris Metafas, Dimitrios Soudris, Spyros Blionas:
The low power analogue and digital baseband processing parts of a novel multimode DECT/GSM/DCS1800 terminal. Microelectron. J. 35(7): 609-620 (2004) - [c57]Michalis D. Galanis, Athanasios Milidonis, George Theodoridis, Dimitrios Soudris, Constantinos E. Goutis:
A Partitioning Methodology for Accelerating Applications in Hybrid Reconfigurable Platforms. DATE 2004: 247-252 - [c56]David Atienza, Stylianos Mamagkakis, Francky Catthoor, Jose Manuel Mendias, Dimitrios Soudris:
Dynamic Memory Management Design Methodology for Reduced Memory Footprint in Multimedia and Wireless Network Applications. DATE 2004: 532-537 - [c55]David Atienza, Stylianos Mamagkakis, Francky Catthoor, Jose Manuel Mendias, Dimitrios Soudris:
Reducing memory accesses with a system-level design methodology in customized dynamic memory management. ESTIMedia 2004: 93-98 - [c54]Michalis D. Galanis, George Theodoridis, Spyros Tragoudas, Dimitrios Soudris, Constantinos E. Goutis:
Accelerating DSP Applications on a Mixed Granularity Platform with a New Reconfigurable Coarse-Grain Data-Path. FCCM 2004: 275-276 - [c53]Michalis D. Galanis, George Theodoridis, Spyros Tragoudas, Dimitrios Soudris, Constantinos E. Goutis:
A novel coarse-grain reconfigurable data-path for accelerating DSP kernels. FPGA 2004: 252 - [c52]Michalis D. Galanis, George Theodoridis, Spyros Tragoudas, Dimitrios Soudris, Constantinos E. Goutis:
Mapping DSP Applications to a High-Performance Reconfigurable Coarse-Grain Data-Path. FPL 2004: 868-873 - [c51]Kostas Siozios, George Koutroumpezis, Konstantinos Tatas, Dimitrios Soudris, Adonios Thanailakis:
A Novel FPGA Configuration Bitstream Generation Algorithm and Tool Development. FPL 2004: 1116-1118 - [c50]Michalis D. Galanis, George Theodoridis, Spyros Tragoudas, Dimitrios Soudris, Costas E. Goutis:
A high performance data-path to accelerate DSP kernels. ICECS 2004: 495-498 - [c49]Vasilios Kalenteridis, Haroula Pournara, Kostas Siozios, Konstantinos Tatas, George Koutroumpezis, Ilias Pappas, Spiridon Nikolaidis, Stilianos Siskos, D. J. Soudris, Adonios Thanailakis:
An Integrated FPGA Design Framework: Custom Designed FPGA Platform and Application Mapping Toolset Development. IPDPS 2004 - [c48]Evaggelia Theochari, Konstantinos Tatas, Dimitrios Soudris, Kostas Masselos, Konstantinos Potamianos, Spyros Blionas, Antonios Thanailakis:
A reusable IP FFT core for DSP applications. ISCAS (3) 2004: 621-624 - [c47]David Atienza, Stylianos Mamagkakis, Francky Catthoor, Jose Manuel Mendias, Dimitrios Soudris:
Modular Construction and Power Modelling of Dynamic Memory Managers for Embedded Systems. PATMOS 2004: 510-520 - [c46]Kostas Masselos, Spyros Blionas, Jean-Yves Mignolet, A. Foster, Dimitrios Soudris, Spiridon Nikolaidis:
Hardware Building Blocks of a Mixed Granularity Reconfigurable System-on-Chip Platform. PATMOS 2004: 613-622 - [c45]Michalis D. Galanis, George Theodoridis, Spyros Tragoudas, Dimitrios Soudris, Constantinos E. Goutis:
Mapping Computational Intensive Applications to a New Coarse-Grained Reconfigurable Data-Path. PATMOS 2004: 652-661 - [c44]Michalis D. Galanis, George Theodoridis, Spyros Tragoudas, Dimitrios Soudris, Costas E. Goutis:
A Novel Data-Path for Accelerating DSP Kernels. SAMOS 2004: 363-372 - [c43]Minas Dasygenis, Erik Brockmeyer, Bart Durinck, Francky Catthoor, Dimitrios Soudris, Antonios Thanailakis:
Power, Performance and Area Exploration for Data Memory Assignment of Multimedia Applications. SAMOS 2004: 540-549 - [c42]Stylianos Mamagkakis, Alexandros Mpartzas, Georgios Pouiklis, David Atienza, Francky Catthoor, Dimitrios Soudris, Jose Manuel Mendias, Antonios Thanailakis:
Design of Energy Efficient Wireless Networks Using Dynamic Data Type Refinement Methodology. WWIC 2004: 26-37 - 2003
- [j7]Konstantinos Tatas, Minas Dasygenis, Nikolas Kroupis, Antonios Argyriou, Dimitrios Soudris, Antonios Thanailakis:
Data memory power optimization and performance exploration of embedded systems for implementing motion estimation algorithms. Real Time Imaging 9(6): 371-386 (2003) - [c41]Konstantinos Tatas, Kostas Siozios, Dimitrios Soudris, Adonios Thanailakis:
Power-Efficient Implementations of Multimedia Applications on Reconfigurable Platforms. FPL 2003: 1032-1035 - [c40]Dimitrios Soudris, Marios Kesoulis, Christos S. Koukourlis, Adonios Thanailakis, Spyros Blionas:
Alternative Direct Digital Frequency Synthesizer architectures with reduced memory size. ISCAS (2) 2003: 73-76 - [c39]Dimitrios Soudris, K. Sgouropoulos, Konstantinos Tatas, Vasilis F. Pavlidis, Adonios Thanailakis:
A methodology for implementing FIR filters and CAD tool development for designing RNS-based systems. ISCAS (5) 2003: 129-132 - [c38]Konstantinos Tatas, Kostas Siozios, Dimitrios Soudris, Adonios Thanailakis, Kostas Masselos, Konstantinos Potamianos, Spyros Blionas:
Power Optimization Methdology for Multimedia Applications Implementation on Reconfigurable Platforms. PATMOS 2003: 430-439 - [c37]Konstantinos Tatas, Kostas Siozios, Nikolaos Vassiliadis, D. J. Soudris, Spiridon Nikolaidis, Stilianos Siskos, Adonios Thanailakis:
FPGA Architecture Design and Toolset for Logic Implementation. PATMOS 2003: 607-616 - [c36]Marios Kesoulis, Dimitrios Soudris, Christos S. Koukourlis, Adonios Thanailakis:
Designing Low Power Direct Digital Frequency Synthesizers. VLSI-SOC 2003: 105-110 - 2002
- [j6]S. Theoharis, George Theodoridis, Dimitrios Soudris, Constantinos E. Goutis, Adonios Thanailakis:
A fast and accurate delay dependent method for switching estimation of large combinational circuits. J. Syst. Archit. 48(4-5): 113-124 (2002) - [c35]Nikolaos D. Liveris, Nikolaos D. Zervas, Dimitrios Soudris, Constantinos E. Goutis:
A Code Transformation-Based Methodology for Improving I-Cache Performance of DSP Applications. DATE 2002: 977-983 - [c34]George Koutroumpezis, Konstantinos Tatas, Dimitrios Soudris, Spyros Blionas, Kostas Masselos, Adonios Thanailakis:
Architecture Design of a Reconfigurable Multiplier for Flexible Coarse-Grain Implementations. FPL 2002: 1027-1036 - [c33]Antonios Atsalakis, Nikolas Kroupis, Dimitrios Soudris, Nikos Papamarkos:
A window-based color quantization technique and its architecture implementation. DSP 2002: 285-288 - [c32]Konstantinos Tatas, D. J. Soudris, D. Siomos, Minas Dasygenis, Adonios Thanailakis:
A novel division algorithm for parallel and sequential processing. ICECS 2002: 553-556 - [c31]Dimitrios Soudris, Minas Dasygenis, K. Mitroglou, Konstantinos Tatas, Adonios Thanailakis:
A full adder based methodology for scaling operation in residue number system. ICECS 2002: 891-894 - [c30]Antonios Atsalakis, Nikos Papamarkos, Dimitrios Soudris, Nikolas Kroupis:
A window-based color quantization technique and its embedded implementation. ICIP (2) 2002: 365-368 - [c29]Athanasios Kakarountas, Kyriakos Papadomanolakis, Spiridon Nikolaidis, Dimitrios Soudris, Constantinos E. Goutis:
Confronting violations of the TSCG(T) in low-power design. ISCAS (4) 2002: 313-316 - [c28]Nikolaos D. Zervas, G. Pagkless, Minas Dasygenis, Dimitrios Soudris:
Performance and Power Comparative Study of Discrete Wavelet Transform on Programmable Processors. PATMOS 2002: 323-331 - 2001
- [j5]George Theodoridis, Spyros Theoharis, Dimitrios Soudris, Constantinos E. Goutis:
A Probabilistic Power Estimation Method for Combinational Circuits Under Real Gate Delay Model. VLSI Design 12(1): 69-79 (2001) - [j4]George Theodoridis, S. Theoharis, Dimitrios Soudris, Constantinos E. Goutis:
A Fast and Accurate Method of Power Estimation for Logic Level Networks. VLSI Design 12(2): 205-219 (2001) - [c27]Minas Dasygenis, Nikolas Kroupis, Antonios Argyriou, Konstantinos Tatas, Dimitrios Soudris, Nikolaos D. Zervas:
Data and instruction memory exploration of embedded systems for multimedia applications. ICASSP 2001: 1149-1152 - [c26]Christos Drosos, Chrissavgi Dre, Dimitris Metafas, Dimitrios Soudris, Spyros Blionas:
The low power baseband processing parts of a novel dual mode DECT/GSM terminal. ICECS 2001: 35-38 - [c25]Dimitrios Soudris, Vasilis F. Pavlidis, Adonios Thanailakis:
Designing low-power energy recovery adders based on pass transistor logic. ICECS 2001: 777-780 - [c24]Nikolas Kroupis, Minas Dasygenis, Antonios Argyriou, Konstantinos Tatas, Dimitrios Soudris, Antonios Thanailakis, Nikolaos D. Zervas, Constantinos E. Goutis:
Power, performance and area exploration of block matching algorithms mapped on programmable processors. ICIP (3) 2001: 728-731 - [c23]Ioannis M. Thoidis, Dimitrios Soudris, Jean-Marc Fernandez, Adonios Thanailakis:
The circuit design of multiple-valued logic voltage-mode adders. ISCAS (4) 2001: 162-165 - [c22]Christos Drosos, Chrissavgi Dre, Spyros Blionas, Dimitrios Soudris:
On the implementation of a baseband processor for a portable dual mode DECT/GSM terminal. ISCAS (4) 2001: 334-337 - [c21]D. J. Soudris, Minas Dasygenis, Spyridoula K. Vasilopoulou, Adonios Thanailakis:
A CAD tool for architecture level exploration and automatic generation of RNS converters. ISCAS (4) 2001: 730-733 - [c20]Nikolaos D. Zervas, I. Tagopoulos, Vassilis Spiliotopoulos, Giorgos P. Anagnostopoulos, Dimitrios Soudris, Constantinos E. Goutis:
Performance comparison of DWT scheduling alternatives on programmable platforms. ISCAS (2) 2001: 761-764 - [c19]Konstantinos Tatas, Antonios Argyriou, Minas Dasygenis, Dimitrios Soudris, Nikolaos D. Zervas:
Memory Hierarchy Optimization of Multimedia Applications on Programmable Embedded Cores 1. ISQED 2001: 456-461 - 2000
- [c18]D. J. Soudris, Minas Dasygenis, Adonios Thanailakis:
Designing RNS and QRNS full adder based converters. ISCAS 2000: 20-23 - [c17]Nikolaos D. Zervas, Dimitrios Soudris, Spyros Theoharis, Constantinos E. Goutis, Adonios Thanailakis:
A methodology for the behavioral-level event-driven power management of digital receivers. ISCAS 2000: 589-592 - [c16]Dimitrios Soudris, Minas Perakis, Haris Mizas, Vasilios A. Mardiris, Kosfas Katis, Chrissavgi Dre, A. E. Tzimas, E. G. Metaxakis, Grigorios Kalivas, Nikolaos D. Zervas, Spyros Theoharis, George Theodoridis, Adonios Thanailakis, Constantinos E. Goutis:
Low power design of a multi-mode transceiver. ISCAS 2000: 721-724 - [c15]Nikolaos D. Zervas, S. Theoharis, Athanasios Kakarountas, George Theodoridis, Dimitrios Soudris, Constantinos E. Goutis:
Reducing Power Consumption through Dynamic Frequency Scaling for a Class of Digital Receivers. PATMOS 2000: 47-55 - [c14]Dimitrios Soudris, Nikolaos D. Zervas, Antonios Argyriou, Minas Dasygenis, Konstantinos Tatas, Constantinos E. Goutis, Adonios Thanailakis:
Data-Reuse and Parallel Embedded Architectures for Low-Power, Real-Time Multimedia Applications. PATMOS 2000: 243-254 - [e1]Dimitrios Soudris, Peter Pirsch, Erich Barke:
Integrated Circuit Design, Power and Timing Modeling, Optimization and Simulation, 10th International Workshop, PATMOS 2000, Göttingen, Germany, September 13-15, 2000, Proceedings. Lecture Notes in Computer Science 1918, Springer 2000, ISBN 3-540-41068-6 [contents]
1990 – 1999
- 1999
- [j3]George Theodoridis, Spyros Theoharis, Dimitrios Soudris, Constantinos E. Goutis:
A New Method for Low Power Design of Two-Level Logic Circuits. VLSI Design 9(2): 147-157 (1999) - [c13]C. Z. Lolas, Dimitrios Soudris, Ioannis Karafyllidis, Adonios Thanailakis:
A new adiabatic technique for designing low power array architectures. ICECS 1999: 795-798 - [c12]I. Thoidis, D. J. Soudris, Ioannis Karafyllidis, Adonios Thanailakis:
The design of low power multiple-valued logic encoder and decoder circuits. ICECS 1999: 1623-1626 - [c11]Ioannis Karafyllidis, Stelios Mavridis, Dimitrios Soudris, Adonios Thanailakis:
Estimation of power dissipation in glitching using complex-time cellular automata. ICECS 1999: 1639-1642 - [c10]M. Perakis, A. E. Tzimas, E. G. Metaxakis, Dimitrios Soudris, Grigorios A. Kalivas, C. Katis, Chrissavgi Dre, Constantinos E. Goutis, Adonios Thanailakis, Thanos Stouraitis:
The VLSI implementation of a baseband receiver for DECT-based portable applications. ISCAS (1) 1999: 198-201 - [c9]George Theodoridis, S. Theoharis, Dimitrios Soudris, Thanos Stouraitis, Constantinos E. Goutis:
An efficient probabilistic method for logic circuits using real delay gate model. ISCAS (1) 1999: 286-289 - 1998
- [c8]I. Thoidis, Dimitrios Soudris, Ioannis Karafyllidis, Adonios Thanailakis, Thanos Stouraitis:
Multiple-Valued Logic Voltage-Mode Storage Circuits Based On True-Single-Phase Clocked Logic. Great Lakes Symposium on VLSI 1998: 83-88 - 1996
- [j2]Efstathios D. Kyriakis-Bitzaros, Dimitrios Soudris, Constantinos E. Goutis:
Transformation of Nested Loops into Uniform Recurrences and their Mapping to Regular Processor Arrays. J. Circuits Syst. Comput. 6(3): 243-266 (1996) - [c7]Dimitrios Soudris, George Theodoridis, S. Theoharis, Adonios Thanailakis:
Low-power design of array architectures. ICECS 1996: 120-123 - [c6]George Theodoridis, S. Theoharis, Dimitrios Soudris, Odysseas G. Koufopavlou, Costas E. Goutis:
A novel approach for reducing the switching activity in two-level logic circuits. ICECS 1996: 840-843 - 1993
- [c5]Dimitrios Soudris, Vassilis Paliouras, Thanos Stouraitis, Alexander Skavantzos, Constantinos E. Goutis:
Systematic design of full adder-based architectures for convolution. ICASSP (1) 1993: 389-392 - [c4]Dimitrios Soudris, P. D. Georgakopoulos, Constantinos E. Goutis:
A Systematic Methodology for Designing Multilevel Systolic Architectures. ISCAS 1993: 1738-1741 - [c3]Vassilis Paliouras, Dimitrios Soudris, Thanos Stouraitis:
Methodology for the Design of Signed-digit DSP Processors. ISCAS 1993: 1833-1836 - 1992
- [c2]Dimitrios Soudris, Vassilis Paliouras, Thanos Stouraitis:
Systematic development of architectures for multidimensional DSP using the residue number system. ICASSP 1992: 397-400 - 1991
- [j1]Dimitrios J. Soudris, Michael K. Birbas, Costas E. Goutis:
Mapping iterative algorithims on regular processor arrays without using uniform recurrent equations. Microprocessing and Microprogramming 31(1-5): 53-58 (1991) - [c1]Dimitrios Soudris, Michael K. Birbas, Constantinos E. Goutis:
Direct mapping of nested loops on piecewise regular processor arrays. Algorithms and Parallel VLSI Architectures 1991: 145-150
Coauthor Index
aka: Costas E. Goutis
aka: Christos Panagiotis Lamprakos
aka: Stelios Mamagkakis
aka: José M. Mendías
aka: Dionisios I. Reisis
aka: Antonios Thanailakis
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.
Unpaywalled article links
Add open access links from to the list of external document links (if available).
Privacy notice: By enabling the option above, your browser will contact the API of unpaywall.org to load hyperlinks to open access articles. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Unpaywall privacy policy.
Archived links via Wayback Machine
For web page which are no longer available, try to retrieve content from the of the Internet Archive (if available).
Privacy notice: By enabling the option above, your browser will contact the API of archive.org to check for archived content of web pages that are no longer available. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Internet Archive privacy policy.
Reference lists
Add a list of references from , , and to record detail pages.
load references from crossref.org and opencitations.net
Privacy notice: By enabling the option above, your browser will contact the APIs of crossref.org, opencitations.net, and semanticscholar.org to load article reference information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Crossref privacy policy and the OpenCitations privacy policy, as well as the AI2 Privacy Policy covering Semantic Scholar.
Citation data
Add a list of citing articles from and to record detail pages.
load citations from opencitations.net
Privacy notice: By enabling the option above, your browser will contact the API of opencitations.net and semanticscholar.org to load citation information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the OpenCitations privacy policy as well as the AI2 Privacy Policy covering Semantic Scholar.
OpenAlex data
Load additional information about publications from .
Privacy notice: By enabling the option above, your browser will contact the API of openalex.org to load additional information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the information given by OpenAlex.
last updated on 2025-01-13 02:00 CET by the dblp team
all metadata released as open data under CC0 1.0 license
see also: Terms of Use | Privacy Policy | Imprint