default search action
Trevor N. Mudge
Person information
- affiliation: University of Michigan, Ann Arbor, MI, USA
- award (2014): Eckert-Mauchly Award
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
2020 – today
- 2023
- [j97]Yuhan Chen, Haojie Ye, Sanketh Vedula, Alex M. Bronstein, Ronald G. Dreslinski, Trevor N. Mudge, Nishil Talati:
Demystifying Graph Sparsification Algorithms in Graph Properties Preservation. Proc. VLDB Endow. 17(3): 427-440 (2023) - [j96]Byoungchan Oh, Nilmini Abeyratne, Nam Sung Kim, Jeongseob Ahn, Ronald G. Dreslinski, Trevor N. Mudge:
Rethinking DRAM's Page Mode With STT-MRAM. IEEE Trans. Computers 72(5): 1503-1517 (2023) - [j95]Ümit Y. Ogras, Radu Marculescu, Trevor N. Mudge, Michael Kishinevsky:
Introduction to the Special Issue on Domain-Specific System-on-Chip Architectures and Run-Time Management Techniques. ACM Trans. Embed. Comput. Syst. 22(2): 27:1-27:3 (2023) - [j94]Anish Krishnakumar, Ümit Y. Ogras, Radu Marculescu, Michael Kishinevsky, Trevor N. Mudge:
Domain-Specific Architectures: Research Problems and Promising Approaches. ACM Trans. Embed. Comput. Syst. 22(2): 28:1-28:26 (2023) - [c212]Haojie Ye, Sanketh Vedula, Yuhan Chen, Yichen Yang, Alex M. Bronstein, Ronald G. Dreslinski, Trevor N. Mudge, Nishil Talati:
GRACE: A Scalable Graph-Based Approach to Accelerating Recommendation Model Inference. ASPLOS (3) 2023: 282-301 - [c211]Yuhan Chen, Alireza Khadem, Xin He, Nishil Talati, Tanvir Ahmed Khan, Trevor N. Mudge:
PEDAL: A Power Efficient GCN Accelerator with Multiple DAtafLows. DATE 2023: 1-6 - [c210]Heewoo Kim, Haojie Ye, Trevor N. Mudge, Ronald G. Dreslinski, Nishil Talati:
RecPIM: A PIM-Enabled DRAM-RRAM Hybrid Memory System For Recommendation Models. ISLPED 2023: 1-6 - [i7]Yichen Yang, Jingtao Li, Nishil Talati, Subhankar Pal, Siying Feng, Chaitali Chakrabarti, Trevor N. Mudge, Ronald G. Dreslinski:
Accelerating Graph Analytics on a Reconfigurable Architecture with a Data-Indirect Prefetcher. CoRR abs/2301.12312 (2023) - [i6]Yuhan Chen, Haojie Ye, Sanketh Vedula, Alex M. Bronstein, Ronald G. Dreslinski, Trevor N. Mudge, Nishil Talati:
Demystifying Graph Sparsification Algorithms in Graph Properties Preservation. CoRR abs/2311.12314 (2023) - 2022
- [j93]Sung Kim, Morteza Fayazi, Alhad Daftardar, Kuan-Yu Chen, Jielun Tan, Subhankar Pal, Tutu Ajayi, Yan Xiong, Trevor N. Mudge, Chaitali Chakrabarti, David T. Blaauw, Ronald G. Dreslinski, Hun-Seok Kim:
Versa: A 36-Core Systolic Multiprocessor With Dynamically Reconfigurable Interconnect and Memory. IEEE J. Solid State Circuits 57(4): 986-998 (2022) - [c209]Xin He, Kuan-Yu Chen, Siying Feng, Hun-Seok Kim, David T. Blaauw, Ronald G. Dreslinski, Trevor N. Mudge:
Squaring the circle: Executing Sparse Matrix Computations on FlexTPU - A TPU-Like Processor. PACT 2022: 148-159 - [c208]Leul Belayneh, Haojie Ye, Kuan-Yu Chen, David T. Blaauw, Trevor N. Mudge, Ronald G. Dreslinski, Nishil Talati:
Locality-Aware Optimizations for Improving Remote Memory Latency in Multi-GPU Systems. PACT 2022: 304-316 - [c207]Nishil Talati, Haojie Ye, Yichen Yang, Leul Belayneh, Kuan-Yu Chen, David T. Blaauw, Trevor N. Mudge, Ronald G. Dreslinski:
NDMiner: accelerating graph pattern mining using near data processing. ISCA 2022: 146-159 - [c206]Siying Feng, Xin He, Kuan-Yu Chen, Liu Ke, Xuan Zhang, David T. Blaauw, Trevor N. Mudge, Ronald G. Dreslinski:
MeNDA: a near-memory multi-way merge solution for sparse transposition and dataflows. ISCA 2022: 245-258 - [c205]Yan Xiong, Jingtao Li, David T. Blaauw, Hun-Seok Kim, Trevor N. Mudge, Ronald G. Dreslinski, Chaitali Chakrabarti:
Improving Energy Efficiency of Convolutional Neural Networks on Multi-core Architectures through Run-time Reconfiguration. ISCAS 2022: 375-379 - [c204]Daniel W. Bliss, Tutu Ajayi, Ali Akoglu, Ilkin Aliyev, Toygun Basaklar, Leul Belayneh, David T. Blaauw, John S. Brunhaver, Chaitali Chakrabarti, Liangliang Chang, Kuan-Yu Chen, Ming-Hung Chen, Xing Chen, Alex R. Chiriyath, Alhad Daftardar, Ronald G. Dreslinski, Arindam Dutta, Allen-Jasmin Farcas, Y. Fu, A. Alper Goksoy, X. He, Md Sahil Hassan, Andrew Herschfelt, Jacob Holtom, Hun-Seok Kim, A. N. Krishnakumar, Y. Li, Owen Ma, Joshua Mack, Saurav Mallik, Sumit K. Mandal, Radu Marculescu, Brittany M. McCall, Trevor N. Mudge, Ümit Y. Ogras, Vishrut Pandey, Saquib Ahmad Siddiqui, Yu-Hsiu Sun, Adarsh A. Venkataramani, Xiangdong Wei, B. R. Willis, Hanguang Yu, Yufan Yue:
Enabling Software-Defined RF Convergence with a Novel Coarse-Scale Heterogeneous Processor. ISCAS 2022: 443-447 - [c203]Nishil Talati, Haojie Ye, Sanketh Vedula, Kuan-Yu Chen, Yuhan Chen, Daniel Liu, Yichao Yuan, David T. Blaauw, Alex M. Bronstein, Trevor N. Mudge, Ronald G. Dreslinski:
Mint: An Accelerator For Mining Temporal Motifs. MICRO 2022: 1270-1287 - [c202]Kuan-Yu Chen, Chi-Sheng Yang, Yu-Hsiu Sun, Chien-Wei Tseng, Morteza Fayazi, Xin He, Siying Feng, Yufan Yue, Trevor N. Mudge, Ronald G. Dreslinski, Hun-Seok Kim, David T. Blaauw:
A 507 GMACs/J 256-Core Domain Adaptive Systolic-Array-Processor for Wireless Communication and Linear-Algebra Kernels in 12nm FINFET. VLSI Technology and Circuits 2022: 202-203 - 2021
- [c201]Siying Feng, Jiawen Sun, Subhankar Pal, Xin He, Kuba Kaszyk, Dong-Hyeon Park, John Magnus Morton, Trevor N. Mudge, Murray Cole, Michael F. P. O'Boyle, Chaitali Chakrabarti, Ronald G. Dreslinski:
CoSPARSE: A Software and Hardware Reconfigurable SpMV Framework for Graph Analytics. DAC 2021: 949-954 - [c200]Nishil Talati, Kyle May, Armand Behroozi, Yichen Yang, Kuba Kaszyk, Christos Vasiladiotis, Tarunesh Verma, Lu Li, Brandon Nguyen, Jiawen Sun, John Magnus Morton, Agreen Ahmadi, Todd M. Austin, Michael F. P. O'Boyle, Scott A. Mahlke, Trevor N. Mudge, Ronald G. Dreslinski:
Prodigy: Improving the Memory Latency of Data-Indirect Irregular Workloads Using Hardware-Software Co-Design. HPCA 2021: 654-667 - [c199]Nishil Talati, Di Jin, Haojie Ye, Ajay Brahmakshatriya, Ganesh S. Dasika, Saman P. Amarasinghe, Trevor N. Mudge, Danai Koutra, Ronald G. Dreslinski:
A Deep Dive Into Understanding The Random Walk-Based Temporal Graph Learning. IISWC 2021: 87-100 - [c198]Sung Kim, Morteza Fayazi, Alhad Daftardar, Kuan-Yu Chen, Jielun Tan, Subhankar Pal, Tutu Ajayi, Yan Xiong, Trevor N. Mudge, Chaitali Chakrabarti, David T. Blaauw, Ronald G. Dreslinski, Hun-Seok Kim:
Versa: A Dataflow-Centric Multiprocessor with 36 Systolic ARM Cortex-M4F Cores and a Reconfigurable Crossbar-Memory Hierarchy in 28nm. VLSI Circuits 2021: 1-2 - [i5]Alireza Khadem, Haojie Ye, Trevor N. Mudge:
CoDR: Computation and Data Reuse Aware CNN Accelerator. CoRR abs/2104.09798 (2021) - [i4]Sung Kim, Morteza Fayazi, Alhad Daftardar, Kuan-Yu Chen, Jielun Tan, Subhankar Pal, Tutu Ajayi, Yan Xiong, Trevor N. Mudge, Chaitali Chakrabarti, David T. Blaauw, Ronald G. Dreslinski, Hun-Seok Kim:
Versa: A Dataflow-Centric Multiprocessor with 36 Systolic ARM Cortex-M4F Cores and a Reconfigurable Crossbar-Memory Hierarchy in 28nm. CoRR abs/2109.03024 (2021) - 2020
- [j92]Dong-Hyeon Park, Subhankar Pal, Siying Feng, Paul Gao, Jielun Tan, Austin Rovinski, Shaolin Xie, Chun Zhao, Aporva Amarnath, Timothy Wesley, Jonathan Beaumont, Kuan-Yu Chen, Chaitali Chakrabarti, Michael Bedford Taylor, Trevor N. Mudge, David T. Blaauw, Hun-Seok Kim, Ronald G. Dreslinski:
A 7.3 M Output Non-Zeros/J, 11.7 M Output Non-Zeros/GB Reconfigurable Sparse Matrix-Matrix Multiplication Accelerator. IEEE J. Solid State Circuits 55(4): 933-944 (2020) - [c197]Subhankar Pal, Siying Feng, Dong-Hyeon Park, Sung Kim, Aporva Amarnath, Chi-Sheng Yang, Xin He, Jonathan Beaumont, Kyle May, Yan Xiong, Kuba Kaszyk, John Magnus Morton, Jiawen Sun, Michael F. P. O'Boyle, Murray Cole, Chaitali Chakrabarti, David T. Blaauw, Hun-Seok Kim, Trevor N. Mudge, Ronald G. Dreslinski:
Transmuter: Bridging the Efficiency Gap using Memory and Dataflow Reconfiguration. PACT 2020: 175-190 - [c196]A. Soorishetty, Jian Zhou, Subhankar Pal, David T. Blaauw, H. Kim, Trevor N. Mudge, Ronald G. Dreslinski, Chaitali Chakrabarti:
Accelerating Linear Algebra Kernels on a Massively Parallel Reconfigurable Architecture. ICASSP 2020: 1558-1562 - [c195]Xin He, Subhankar Pal, Aporva Amarnath, Siying Feng, Dong-Hyeon Park, Austin Rovinski, Haojie Ye, Kuan-Yu Chen, Ronald G. Dreslinski, Trevor N. Mudge:
Sparse-TPU: adapting systolic arrays for sparse matrices. ICS 2020: 19:1-19:12 - [c194]Subhankar Pal, Kuba Kaszyk, Siying Feng, Björn Franke, Murray Cole, Michael F. P. O'Boyle, Trevor N. Mudge, Ronald G. Dreslinski:
HETSIM: Simulating Large-Scale Heterogeneous Systems using a Trace-driven, Synchronization and Dependency-Aware Framework. IISWC 2020: 13-24 - [c193]Yan Xiong, Jian Zhou, Subhankar Pal, David T. Blaauw, Hun-Seok Kim, Trevor N. Mudge, Ronald G. Dreslinski, Chaitali Chakrabarti:
Accelerating Deep Neural Network Computation on a Low Power Reconfigurable Architecture. ISCAS 2020: 1-5 - [c192]Yichen Yang, Haojie Ye, Yuhan Chen, Xueyang Liu, Nishil Talati, Xin He, Trevor N. Mudge, Ronald G. Dreslinski:
CoPTA: Contiguous Pattern Speculating TLB Architecture. SAMOS 2020: 67-83
2010 – 2019
- 2019
- [j91]Hsing Min Chen, Shin-Ying Lee, Trevor N. Mudge, Carole-Jean Wu, Chaitali Chakrabarti:
Configurable-ECC: Architecting a Flexible ECC Scheme to Support Different Sized Accesses in High Bandwidth Memory Systems. IEEE Trans. Computers 68(5): 646-659 (2019) - [c191]Jonathan Beaumont, Trevor N. Mudge:
Fine-Grained Management of Thread Blocks for Irregular Applications. ICCD 2019: 283-292 - [c190]Byoungchan Oh, Nilmini Abeyratne, Nam Sung Kim, Ronald G. Dreslinski, Trevor N. Mudge:
SMART: STT-MRAM architecture for smart activation and sensing. MEMSYS 2019: 316-330 - [c189]Subhankar Pal, Dong-Hyeon Park, Siying Feng, Paul Gao, Jielun Tan, Austin Rovinski, Shaolin Xie, Chun Zhao, Aporva Amarnath, Timothy Wesley, Jonathan Beaumont, Kuan-Yu Chen, Chaitali Chakrabarti, Michael B. Taylor, Trevor N. Mudge, David T. Blaauw, Hun-Seok Kim, Ronald G. Dreslinski:
A 7.3 M Output Non-Zeros/J Sparse Matrix-Matrix Multiplication Accelerator using Memory Reconfiguration in 40 nm. VLSI Circuits 2019: 150- - 2018
- [c188]Subhankar Pal, Jonathan Beaumont, Dong-Hyeon Park, Aporva Amarnath, Siying Feng, Chaitali Chakrabarti, Hun-Seok Kim, David T. Blaauw, Trevor N. Mudge, Ronald G. Dreslinski:
OuterSPACE: An Outer Product Based Sparse Matrix Multiplication Accelerator. HPCA 2018: 724-736 - [c187]Byoungchan Oh, Nam Sung Kim, Jeongseob Ahn, Bingchao Li, Ronald G. Dreslinski, Trevor N. Mudge:
A load balancing technique for memory channels. MEMSYS 2018: 55-66 - [e6]Trevor N. Mudge, Dionisios N. Pnevmatikatos:
Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, Pythagorion, Greece, July 15-19, 2018. ACM 2018, ISBN 978-1-4503-6494-2 [contents] - [i3]Shaun D'Souza, Trevor N. Mudge:
Holistic generational offsets: Fostering a primitive online abstraction for human vs. machine cognition. CoRR abs/1810.03955 (2018) - 2017
- [j90]Nathaniel Ross Pinckney, Supreet Jeloka, Ronald G. Dreslinski, Trevor N. Mudge, Dennis Sylvester, David T. Blaauw, Lucian Shifren, Brian Cline, Saurabh Sinha:
Impact of FinFET on Near-Threshold Voltage Scalability. IEEE Des. Test 34(2): 31-38 (2017) - [c186]Yiping Kang, Johann Hauswald, Cao Gao, Austin Rovinski, Trevor N. Mudge, Jason Mars, Lingjia Tang:
Neurosurgeon: Collaborative Intelligence Between the Cloud and Mobile Edge. ASPLOS 2017: 615-629 - [c185]Dong-Hyeon Park, Jonathan Beaumont, Trevor N. Mudge:
Accelerating Smith-Waterman Alignment Workload with Scalable Vector Computing. CLUSTER 2017: 661-668 - [c184]Yajing Chen, Shengshuo Lu, Cheng Fu, David T. Blaauw, Ronald Dreslinski Jr., Trevor N. Mudge, Hun-Seok Kim:
A Programmable Galois Field Processor for the Internet of Things. ISCA 2017: 55-68 - [c183]Suyoung Bang, Jingcheng Wang, Ziyun Li, Cao Gao, Yejoong Kim, Qing Dong, Yen-Po Chen, Laura Fick, Xun Sun, Ronald G. Dreslinski, Trevor N. Mudge, Hun-Seok Kim, David T. Blaauw, Dennis Sylvester:
14.7 A 288µW programmable deep-learning processor with 270KB on-chip weight storage using non-uniform memory hierarchy for mobile intelligence. ISSCC 2017: 250-251 - [c182]John Kloosterman, Jonathan Beaumont, Davoud Anoushe Jamshidi, Jonathan Bailey, Trevor N. Mudge, Scott A. Mahlke:
Regless: just-in-time operand staging for GPUs. MICRO 2017: 151-164 - 2016
- [j89]Yajing Chen, Nikolaos Chiotellis, Li-Xuan Chuo, Carl Pfeiffer, Yao Shi, Ronald G. Dreslinski, Anthony Grbic, Trevor N. Mudge, David D. Wentzloff, David T. Blaauw, Hun-Seok Kim:
Energy-Autonomous Wireless Communication for Millimeter-Scale Internet-of-Things Sensor Nodes. IEEE J. Sel. Areas Commun. 34(12): 3962-3977 (2016) - [j88]Johann Hauswald, Michael A. Laurenzano, Yunqi Zhang, Cheng Li, Austin Rovinski, Arjun Khurana, Ronald G. Dreslinski, Trevor N. Mudge, Vinicius Petrucci, Lingjia Tang, Jason Mars:
Sirius Implications for Future Warehouse-Scale Computers. IEEE Micro 36(3): 42-53 (2016) - [j87]Trevor N. Mudge, Frederic T. Chong, Igor L. Markov, Resit Sendag, Joshua J. Yi, Derek Chiou:
Impact of Future Technologies on Architecture. IEEE Micro 36(4): 48-56 (2016) - [j86]Hsing Min Chen, Carole-Jean Wu, Trevor N. Mudge, Chaitali Chakrabarti:
RATT-ECC: Rate Adaptive Two-Tiered Error Correction Codes for Reliable 3D Die-Stacked Memory. ACM Trans. Archit. Code Optim. 13(3): 24:1-24:24 (2016) - [j85]Hsing Min Chen, Supreet Jeloka, Akhil Arunkumar, David T. Blaauw, Carole-Jean Wu, Trevor N. Mudge, Chaitali Chakrabarti:
Using Low Cost Erasure and Error Correction Schemes to Improve Reliability of Commodity DRAM Systems. IEEE Trans. Computers 65(12): 3766-3779 (2016) - [j84]Johann Hauswald, Michael A. Laurenzano, Yunqi Zhang, Hailong Yang, Yiping Kang, Cheng Li, Austin Rovinski, Arjun Khurana, Ronald G. Dreslinski, Trevor N. Mudge, Vinicius Petrucci, Lingjia Tang, Jason Mars:
Designing Future Warehouse-Scale Computers for Sirius, an End-to-End Voice and Vision Personal Assistant. ACM Trans. Comput. Syst. 34(1): 2:1-2:32 (2016) - [c181]Nathaniel Ross Pinckney, Lucian Shifren, Brian Cline, Saurabh Sinha, Supreet Jeloka, Ronald G. Dreslinski, Trevor N. Mudge, Dennis Sylvester, David T. Blaauw:
Near-threshold computing in FinFET technologies: opportunities for improved voltage scalability. DAC 2016: 76:1-76:6 - [c180]Yajing Chen, Shengshuo Lu, Hun-Seok Kim, David T. Blaauw, Ronald G. Dreslinski, Trevor N. Mudge:
A low power software-defined-radio baseband processor for the Internet of Things. HPCA 2016: 40-51 - [c179]Byoungchan Oh, Nilmini Abeyratne, Jeongseob Ahn, Ronald G. Dreslinski, Trevor N. Mudge:
Enhancing DRAM Self-Refresh for Idle Power Reduction. ISLPED 2016: 254-259 - [c178]Nilmini Abeyratne, Hsing Min Chen, Byoungchan Oh, Ronald G. Dreslinski, Chaitali Chakrabarti, Trevor N. Mudge:
Checkpointing Exascale Memory Systems with Existing Memory Technologies. MEMSYS 2016: 18-29 - 2015
- [j83]Trevor N. Mudge:
The specialization trend in computer hardware: techincal perspective. Commun. ACM 58(4): 84 (2015) - [j82]Trevor N. Mudge:
Thoughts on Winning the 2014 Eckert-Mauchly Award. IEEE Micro 35(3): 144-146 (2015) - [j81]Qi Zheng, Yajing Chen, Hyunseok Lee, Ronald G. Dreslinski, Chaitali Chakrabarti, Achilleas Anastasopoulos, Scott A. Mahlke, Trevor N. Mudge:
Using Graphics Processing Units in an LTE Base Station. J. Signal Process. Syst. 78(1): 35-47 (2015) - [c177]Johann Hauswald, Michael A. Laurenzano, Yunqi Zhang, Cheng Li, Austin Rovinski, Arjun Khurana, Ronald G. Dreslinski, Trevor N. Mudge, Vinicius Petrucci, Lingjia Tang, Jason Mars:
Sirius: An Open End-to-End Voice and Vision Personal Assistant and Its Implications for Future Warehouse Scale Computers. ASPLOS 2015: 223-238 - [c176]Trevor N. Mudge:
The Architecture of Smart Phones. HiPC 2015: 355 - [c175]Johann Hauswald, Yiping Kang, Michael A. Laurenzano, Quan Chen, Cheng Li, Trevor N. Mudge, Ronald G. Dreslinski, Jason Mars, Lingjia Tang:
DjiNN and Tonic: DNN as a service and its implications for future warehouse scale computers. ISCA 2015: 27-40 - [c174]Cao Gao, Anthony Gutierrez, Madhav Rajan, Ronald G. Dreslinski, Trevor N. Mudge, Carole-Jean Wu:
A study of mobile device utilization. ISPASS 2015: 225-234 - [c173]Hsing Min Chen, Akhil Arunkumar, Carole-Jean Wu, Trevor N. Mudge, Chaitali Chakrabarti:
E-ECC: Low Power Erasure and Error Correction Schemes for Increasing Reliability of Commodity DRAM Systems. MEMSYS 2015: 60-70 - [c172]John Kloosterman, Jonathan Beaumont, Mick Wollman, Ankit Sethia, Ronald G. Dreslinski, Trevor N. Mudge, Scott A. Mahlke:
WarpPool: sharing requests with inter-warp coalescing for throughput processors. MICRO 2015: 433-444 - 2014
- [j80]Chengen Yang, Hsing Min Chen, Trevor N. Mudge, Chaitali Chakrabarti:
Improving the Reliability of MLC NAND Flash Memories Through Adaptive Data Refresh and Error Control Coding. J. Signal Process. Syst. 76(3): 225-234 (2014) - [c171]Anthony Gutierrez, Michael Cieslak, Bharan Giridhar, Ronald G. Dreslinski, Luis Ceze, Trevor N. Mudge:
Integrated 3D-stacked server designs for increasing physical density of key-value stores. ASPLOS 2014: 485-498 - [c170]Supriya Rao, Supreet Jeloka, Reetuparna Das, David T. Blaauw, Ronald G. Dreslinski, Trevor N. Mudge:
VIX: Virtual Input Crossbar for Efficient Switch Allocation. DAC 2014: 103:1-103:6 - [c169]Nilmini Abeyratne, Supreet Jeloka, Yiping Kang, David T. Blaauw, Ronald G. Dreslinski, Reetuparna Das, Trevor N. Mudge:
Quality-of-Service for a High-Radix Switch. DAC 2014: 163:1-163:6 - [c168]Johann Hauswald, Thomas Manville, Q. Zheng, Ronald G. Dreslinski, Chaitali Chakrabarti, Trevor N. Mudge:
A hybrid approach to offloading mobile image classification. ICASSP 2014: 8375-8379 - [c167]Trevor N. Mudge:
Author retrospective improving data cache performance by pre-executing instructions under a cache miss. ICS 25th Anniversary 2014: 40-41 - [c166]Joseph Pusdesris, Benjamin VanderSloot, Trevor N. Mudge:
A memory rename table to reduce energy and improve performance. ISLPED 2014: 279-282 - [c165]Anthony Gutierrez, Joseph Pusdesris, Ronald G. Dreslinski, Trevor N. Mudge, Chander Sudanthi, Christopher D. Emmons, Mitchell Hayenga, Nigel C. Paver:
Sources of error in full-system simulation. ISPASS 2014: 13-22 - [c164]Cao Gao, Anthony Gutierrez, Ronald G. Dreslinski, Trevor N. Mudge, Krisztián Flautner, Geoffrey Blake:
A study of Thread Level Parallelism on mobile devices. ISPASS 2014: 126-127 - [c163]Supreet Jeloka, Reetuparna Das, Ronald G. Dreslinski, Trevor N. Mudge, David T. Blaauw:
Hi-Rise: A High-Radix Switch for 3D Integration with Single-Cycle Arbitration. MICRO 2014: 471-483 - [c162]Anthony Gutierrez, Ronald G. Dreslinski, Trevor N. Mudge:
Evaluating private vs. shared last-level caches for energy efficiency in asymmetric multi-cores. ICSAMOS 2014: 191-198 - 2013
- [j79]Ronald G. Dreslinski, David Fick, Bharan Giridhar, Gyouho Kim, Sangwon Seo, Matthew Fojtik, Sudhir Satpathy, Yoonmyung Lee, Daeyeon Kim, Nurrachman Liu, Michael Wieckowski, Gregory K. Chen, Dennis Sylvester, David T. Blaauw, Trevor N. Mudge:
Centip3De: a many-core prototype exploring 3D integration and near-threshold computing. Commun. ACM 56(11): 97-104 (2013) - [j78]David Fick, Ronald G. Dreslinski, Bharan Giridhar, Gyouho Kim, Sangwon Seo, Matthew Fojtik, Sudhir Satpathy, Yoonmyung Lee, Daeyeon Kim, Nurrachman Liu, Michael Wieckowski, Gregory K. Chen, Trevor N. Mudge, David T. Blaauw, Dennis Sylvester:
Centip3De: A Cluster-Based NTC Architecture With 64 ARM Cortex-M3 Cores in 3D Stacked 130 nm CMOS. IEEE J. Solid State Circuits 48(1): 104-117 (2013) - [j77]Ronald G. Dreslinski, David Fick, Bharan Giridhar, Gyouho Kim, Sangwon Seo, Matthew Fojtik, Sudhir Satpathy, Yoonmyung Lee, Daeyeon Kim, Nurrachman Liu, Michael Wieckowski, Gregory K. Chen, Dennis Sylvester, David T. Blaauw, Trevor N. Mudge:
Centip3De: A 64-Core, 3D Stacked Near-Threshold System. IEEE Micro 33(2): 8-16 (2013) - [j76]Nathaniel Ross Pinckney, Ronald G. Dreslinski, Korey Sewell, David Fick, Trevor N. Mudge, Dennis Sylvester, David T. Blaauw:
Limits of Parallelism and Boosting in Dim Silicon. IEEE Micro 33(5): 30-37 (2013) - [c161]Nilmini Abeyratne, Reetuparna Das, Qingkun Li, Korey Sewell, Bharan Giridhar, Ronald G. Dreslinski, David T. Blaauw, Trevor N. Mudge:
Scaling towards kilo-core processors with asymmetric high-radix topologies. HPCA 2013: 496-507 - [c160]Qi Zheng, Yajing Chen, Ronald G. Dreslinski, Chaitali Chakrabarti, Achilleas Anastasopoulos, Scott A. Mahlke, Trevor N. Mudge:
WiBench: An open source kernel suite for benchmarking wireless systems. IISWC 2013: 123-132 - [c159]Qi Zheng, Yen-Po Chen, Ronald G. Dreslinski, Chaitali Chakrabarti, Achilleas Anastasopoulos, Scott A. Mahlke, Trevor N. Mudge:
Parallelization techniques for implementing trellis algorithms on graphics processors. ISCAS 2013: 1220-1223 - [c158]Bharan Giridhar, Michael Cieslak, Deepankar Duggal, Ronald G. Dreslinski, Hsing Min Chen, Robert Patti, Betina Hold, Chaitali Chakrabarti, Trevor N. Mudge, David T. Blaauw:
Exploring DRAM organizations for energy-efficient and resilient exascale memories. SC 2013: 23:1-23:12 - [c157]Qi Zheng, Yen-Po Chen, Ronald G. Dreslinski, Chaitali Chakrabarti, Achilleas Anastasopoulos, Scott A. Mahlke, Trevor N. Mudge:
Architecting an LTE base station with graphics processing units. SiPS 2013: 219-224 - 2012
- [j75]Korey Sewell, Ronald G. Dreslinski, Thomas Manville, Sudhir Satpathy, Nathaniel Ross Pinckney, Geoffrey Blake, Michael Cieslak, Reetuparna Das, Thomas F. Wenisch, Dennis Sylvester, David T. Blaauw, Trevor N. Mudge:
Swizzle-Switch Networks for Many-Core Systems. IEEE J. Emerg. Sel. Topics Circuits Syst. 2(2): 278-294 (2012) - [j74]Ankit Sethia, Ganesh S. Dasika, Trevor N. Mudge, Scott A. Mahlke:
A Customized Processor for Energy Efficient Scientific Computing. IEEE Trans. Computers 61(12): 1711-1723 (2012) - [c156]Ronald G. Dreslinski, Thomas Manville, Korey Sewell, Reetuparna Das, Nathaniel Ross Pinckney, Sudhir Satpathy, David T. Blaauw, Dennis Sylvester, Trevor N. Mudge:
XPoint cache: scaling existing bus-based coherence protocols for 2D and 3D many-core systems. PACT 2012: 75-86 - [c155]Anthony Gutierrez, Joseph Pusdesris, Ronald G. Dreslinski, Trevor N. Mudge:
Lazy cache invalidation for self-modifying codes. CASES 2012: 151-160 - [c154]Jichuan Chang, Parthasarathy Ranganathan, Trevor N. Mudge, David Roberts, Mehul A. Shah, Kevin T. Lim:
A limits study of benefits from nanostore-based future data-centric system architectures. Conf. Computing Frontiers 2012: 33-42 - [c153]Sudhir Satpathy, Reetuparna Das, Ronald G. Dreslinski, Trevor N. Mudge, Dennis Sylvester, David T. Blaauw:
High radix self-arbitrating switch fabric with multiple arbitration schemes and quality of service. DAC 2012: 406-411 - [c152]Sangwon Seo, Ronald G. Dreslinski, Mark Woh, Yongjun Park, Chaitali Chakrabarti, Scott A. Mahlke, David T. Blaauw, Trevor N. Mudge:
Process variation in near-threshold wide SIMD architectures. DAC 2012: 980-987 - [c151]Nathaniel Ross Pinckney, Korey Sewell, Ronald G. Dreslinski, David Fick, Trevor N. Mudge, Dennis Sylvester, David T. Blaauw:
Assessing the performance limits of parallelized near-threshold computing. DAC 2012: 1147-1152 - [c150]Ronald G. Dreslinski, David Fick, Bharan Giridhar, Gyouho Kim, Sangwon Seo, Matthew Fojtik, Sudhir Satpathy, Yoonmyung Lee, Daeyeon Kim, Nurrachman Liu, Michael Wieckowski, Gregory K. Chen, Trevor N. Mudge, Dennis Sylvester, David T. Blaauw:
Centip3De: A 64-core, 3D stacked, near-threshold system. Hot Chips Symposium 2012: 1-30 - [c149]Ronald G. Dreslinski, Korey Sewell, Thomas Manville, Sudhir Satpathy, Nathaniel Ross Pinckney, Geoffrey Blake, Michael Cieslak, Reetuparna Das, Thomas F. Wenisch, Dennis Sylvester, David T. Blaauw, Trevor N. Mudge:
Swizzle Switch: A self-arbitrating high-radix crossbar for NoC systems. Hot Chips Symposium 2012: 1-44 - [c148]David Fick, Ronald G. Dreslinski, Bharan Giridhar, Gyouho Kim, Sangwon Seo, Matthew Fojtik, Sudhir Satpathy, Yoonmyung Lee, Daeyeon Kim, Nurrachman Liu, Michael Wieckowski, Gregory K. Chen, Trevor N. Mudge, Dennis Sylvester, David T. Blaauw:
Centip3De: A 3930DMIPS/W configurable near-threshold 3D stacked system with 64 ARM Cortex-M3 cores. ISSCC 2012: 190-192 - [c147]Sudhir Satpathy, Korey Sewell, Thomas Manville, Yen-Po Chen, Ronald G. Dreslinski, Dennis Sylvester, Trevor N. Mudge, David T. Blaauw:
A 4.5Tb/s 3.4Tb/s/W 64×64 switch fabric with self-updating least-recently-granted priority and quality-of-service arbitration in 45nm CMOS. ISSCC 2012: 478-480 - 2011
- [j73]Mark Woh, Yuan Lin, Sangwon Seo, Scott A. Mahlke, Trevor N. Mudge:
Analyzing the Next Generation Software Defined Radio for Future Architectures. J. Signal Process. Syst. 63(1): 83-94 (2011) - [c146]Ganesh S. Dasika, Ankit Sethia, Trevor N. Mudge, Scott A. Mahlke:
PEPSC: A Power-Efficient Processor for Scientific Computing. PACT 2011: 101-110 - [c145]Amir Hormati, Mehrzad Samadi, Mark Woh, Trevor N. Mudge, Scott A. Mahlke:
Sponge: portable stream programming on graphics engines. ASPLOS 2011: 381-392 - [c144]Mark Woh, Sudhir Satpathy, Ronald G. Dreslinski, Danny Kershaw, Dennis Sylvester, David T. Blaauw, Trevor N. Mudge:
Low power interconnects for SIMD computers. DATE 2011: 600-605 - [c143]Geoffrey Blake, Ronald G. Dreslinski, Trevor N. Mudge:
Bloom Filter Guided Transaction Scheduling. HPCA 2011: 75-86 - [c142]Anthony Gutierrez, Ronald G. Dreslinski, Thomas F. Wenisch, Trevor N. Mudge, Ali G. Saidi, Christopher D. Emmons, Nigel C. Paver:
Full-system analysis and characterization of interactive smartphone applications. IISWC 2011: 81-90 - [c141]Chengen Yang, Yunus Emre, Chaitali Chakrabarti, Trevor N. Mudge:
Flexible product code-based ECC schemes for MLC NAND Flash memories. SiPS 2011: 255-260 - 2010
- [j72]Mark Woh, Scott A. Mahlke, Trevor N. Mudge, Chaitali Chakrabarti:
Mobile Supercomputers for the Next-Generation Cell Phone. Computer 43(1): 81-85 (2010) - [j71]Trevor N. Mudge:
Guest Editor's Introduction: Top Picks from the Computer Architecture Conferences of 2009. IEEE Micro 30(1): 8-11 (2010) - [j70]Mark Woh, Sangwon Seo, Scott A. Mahlke, Trevor N. Mudge, Chaitali Chakrabarti, Krisztián Flautner:
AnySP: Anytime Anywhere Anyway Signal Processing. IEEE Micro 30(1): 81-91 (2010) - [j69]Trevor N. Mudge, Urs Hölzle:
Challenges and Opportunities for Extremely Energy-Efficient Processors. IEEE Micro 30(4): 20-24 (2010) - [j68]Ronald G. Dreslinski, Michael Wieckowski, David T. Blaauw, Dennis Sylvester, Trevor N. Mudge:
Near-Threshold Computing: Reclaiming Moore's Law Through Energy Efficient Integrated Circuits. Proc. IEEE 98(2): 253-266 (2010) - [j67]Hyunseok Lee, Chaitali Chakrabarti, Trevor N. Mudge:
A Low-Power DSP for Wireless Communications. IEEE Trans. Very Large Scale Integr. Syst. 18(9): 1310-1322 (2010) - [j66]Gregory K. Chen, Dennis Sylvester, David T. Blaauw, Trevor N. Mudge:
Yield-Driven Near-Threshold SRAM Design. IEEE Trans. Very Large Scale Integr. Syst. 18(11): 1590-1598 (2010) - [c140]Ganesh S. Dasika, Ankit Sethia, Vincentius Robby, Trevor N. Mudge, Scott A. Mahlke:
MEDICS: ultra-portable processing for medical image reconstruction. PACT 2010: 181-192 - [c139]Amir Hormati, Yoonseo Choi, Mark Woh, Manjunath Kudlur, Rodric M. Rabbah, Trevor N. Mudge, Scott A. Mahlke:
MacroSS: macro-SIMDization of streaming applications. ASPLOS 2010: 285-296 - [c138]Ganesh S. Dasika, Mark Woh, Sangwon Seo, Nathan Clark, Trevor N. Mudge, Scott A. Mahlke:
Mighty-morphing power-SIMD. CASES 2010: 67-76 - [c137]Geoffrey Blake, Ronald G. Dreslinski, Trevor N. Mudge, Krisztián Flautner:
Evolution of thread-level parallelism in desktop applications. ISCA 2010: 302-313 - [c136]Sangwon Seo, Ronald G. Dreslinski, Mark Woh, Chaitali Chakrabarti, Scott A. Mahlke, Trevor N. Mudge:
Diet SODA: a power-efficient processor for digital cameras. ISLPED 2010: 79-84 - [c135]Trevor N. Mudge:
Technologies for reducing power. ICSAMOS 2010
2000 – 2009
- 2009
- [j65]David Roberts, Taeho Kgil, Trevor N. Mudge:
Integrating NAND flash devices onto servers. Commun. ACM 52(4): 98-103 (2009) - [j64]Kevin T. Lim, Parthasarathy Ranganathan, Jichuan Chang, Chandrakant D. Patel, Trevor N. Mudge, Steven K. Reinhardt:
Server Designs for Warehouse-Computing Environments. IEEE Micro 29(1): 41-49 (2009) - [j63]Geoffrey Blake, Ronald G. Dreslinski, Trevor N. Mudge:
A survey of multicore processors. IEEE Signal Process. Mag. 26(6): 26-37 (2009) - [c134]Amir Hormati, Yoonseo Choi, Manjunath Kudlur, Rodric M. Rabbah, Trevor N. Mudge, Scott A. Mahlke:
Flextream: Adaptive Compilation of Streaming Applications for Heterogeneous Architectures. PACT 2009: 214-223 - [c133]Yoonseo Choi, Yuan Lin, Nathan Chong, Scott A. Mahlke, Trevor N. Mudge:
Stream Compilation for Real-Time Embedded Multicore Systems. CGO 2009: 210-220 - [c132]David Roberts, Taeho Kgil, Trevor N. Mudge:
Using non-volatile memory to save energy in servers. DATE 2009: 743-748 - [c131]Mark Woh, Sangwon Seo, Scott A. Mahlke, Trevor N. Mudge, Chaitali Chakrabarti, Krisztián Flautner:
AnySP: anytime anywhere anyway signal processing. ISCA 2009: 128-139 - [c130]Kevin T. Lim, Jichuan Chang, Trevor N. Mudge, Parthasarathy Ranganathan, Steven K. Reinhardt, Thomas F. Wenisch:
Disaggregated memory for expansion and sharing in blade servers. ISCA 2009: 267-278 - [c129]Ali G. Saidi, Nathan L. Binkert, Steven K. Reinhardt, Trevor N. Mudge:
End-to-end performance forecasting: finding bottlenecks before they happen. ISCA 2009: 361-370 - [c128]Jeff Ringenberg, Trevor N. Mudge:
SuiteSpecks and SuiteSpots: A methodology for the automatic conversion of benchmarking programs into intrinsically checkpointed assembly code. ISPASS 2009: 227-237 - [c127]Geoffrey Blake, Ronald G. Dreslinski, Trevor N. Mudge:
Proactive transaction scheduling for contention management. MICRO 2009: 156-167 - [c126]Sangwon Seo, Mark Woh, Scott A. Mahlke, Trevor N. Mudge, Sunfaram Vijay, Chaitali Chakrabarti:
Customizing wide-SIMD architectures for H.264. ICSAMOS 2009: 172-179 - [c125]Ronald G. Dreslinski, David Fick, David T. Blaauw, Dennis Sylvester, Trevor N. Mudge:
Reconfigurable Multicore Server Processors for Low Power Operation. SAMOS 2009: 247-254 - 2008
- [j62]Taeho Kgil, Ali G. Saidi, Nathan L. Binkert, Steven K. Reinhardt, Krisztián Flautner, Trevor N. Mudge:
PicoServer: Using 3D stacking technology to build energy efficient servers. ACM J. Emerg. Technol. Comput. Syst. 4(4): 16:1-16:34 (2008) - [j61]Carlos Tokunaga, David T. Blaauw, Trevor N. Mudge:
True Random Number Generator With a Metastability-Based Quality Control. IEEE J. Solid State Circuits 43(1): 78-85 (2008) - [j60]David Roberts, Nam Sung Kim, Trevor N. Mudge:
On-chip cache device scaling limits and effective fault repair techniques in future nanoscale technology. Microprocess. Microsystems 32(5-6): 244-253 (2008) - [j59]Eric Karl, David T. Blaauw, Dennis Sylvester, Trevor N. Mudge:
Multi-Mechanism Reliability Modeling and Management in Dynamic Systems. IEEE Trans. Very Large Scale Integr. Syst. 16(4): 476-487 (2008) - [c124]Mark Woh, Yuan Lin, Sangwon Seo, Trevor N. Mudge, Scott A. Mahlke:
Analyzing the scalability of SIMD for the next generation software defined radio. ICASSP 2008: 5388-5391 - [c123]Kevin T. Lim, Parthasarathy Ranganathan, Jichuan Chang, Chandrakant D. Patel, Trevor N. Mudge, Steven K. Reinhardt:
Understanding and Designing New Server Architectures for Emerging Warehouse-Computing Environments. ISCA 2008: 315-326 - [c122]Taeho Kgil, David Roberts, Trevor N. Mudge:
Improving NAND Flash Based Disk Caches. ISCA 2008: 327-338 - [c121]Ali G. Saidi, Nathan L. Binkert, Steven K. Reinhardt, Trevor N. Mudge:
Full-System Critical Path Analysis. ISPASS 2008: 63-74 - [c120]Mark Woh, Yuan Lin, Sangwon Seo, Scott A. Mahlke, Trevor N. Mudge, Chaitali Chakrabarti, Richard Bruce, Danny Kershaw, Alastair Reid, Mladen Wilder, Krisztián Flautner:
From SODA to scotch: The evolution of a wireless baseband processor. MICRO 2008: 152-163 - [c119]Ronald G. Dreslinski, Gregory K. Chen, Trevor N. Mudge, David T. Blaauw, Dennis Sylvester, Krisztián Flautner:
Reconfigurable energy efficient near threshold cache architectures. MICRO 2008: 459-470 - [c118]Yuan Lin, Yoonseo Choi, Scott A. Mahlke, Trevor N. Mudge, Chaitali Chakrabarti:
A parameterized dataflow language extension for embedded streaming systems. ICSAMOS 2008: 10-17 - [c117]Trevor N. Mudge:
PicoServer - building a compact energy efficient multiprocessor. ICSAMOS 2008 - [c116]Emre Özer, Ronald G. Dreslinski, Trevor N. Mudge, Stuart Biles, Krisztián Flautner:
Energy-Efficient Simultaneous Thread Fetch from Different Cache Levels in a Soft Real-Time SMT Processor. SAMOS 2008: 12-22 - 2007
- [j58]Yuan Lin, Hyunseok Lee, Mark Woh, Yoav Harel, Scott A. Mahlke, Trevor N. Mudge, Chaitali Chakrabarti, Krisztián Flautner:
SODA: A High-Performance DSP Architecture for Software-Defined Radio. IEEE Micro 27(1): 114-123 (2007) - [c115]Ronald G. Dreslinski, Bo Zhai, Trevor N. Mudge, David T. Blaauw, Dennis Sylvester:
An Energy Efficient Parallel Architecture Using Near Threshold Operation. PACT 2007: 175-188 - [c114]Yuan Lin, Manjunath Kudlur, Scott A. Mahlke, Trevor N. Mudge:
Hierarchical coarse-grained stream compilation for software defined radio. CASES 2007: 115-124 - [c113]Trevor N. Mudge:
Multicore architectures. CASES 2007: 208 - [c112]Ronald G. Dreslinski, Ali G. Saidi, Trevor N. Mudge, Steven K. Reinhardt:
Analysis of hardware prefetching across virtual page boundaries. Conf. Computing Frontiers 2007: 13-22 - [c111]David Roberts, Nam Sung Kim, Trevor N. Mudge:
On-Chip Cache Device Scaling Limits and Effective Fault Repair Techniques in Future Nanoscale Technology. DSD 2007: 570-578 - [c110]Gregory K. Chen, David T. Blaauw, Trevor N. Mudge, Dennis Sylvester, Nam Sung Kim:
Yield-driven near-threshold SRAM design. ICCAD 2007: 660-666 - [c109]Bo Zhai, Ronald G. Dreslinski, David T. Blaauw, Trevor N. Mudge, Dennis Sylvester:
Energy efficient near-threshold chip multi-processing. ISLPED 2007: 32-37 - [c108]Carlos Tokunaga, David T. Blaauw, Trevor N. Mudge:
True Random Number Generator with a Metastability-Based Quality Control. ISSCC 2007: 404-611 - [c107]Mark Woh, Sangwon Seo, Hyunseok Lee, Yuan Lin, Scott A. Mahlke, Trevor N. Mudge, Chaitali Chakrabarti, Krisztián Flautner:
The Next Generation Challenge for Software Defined Radio. SAMOS 2007: 343-354 - [c106]Sangwon Seo, Trevor N. Mudge, Yuming Zhu, Chaitali Chakrabarti:
Design and Analysis of LDPC Decoders for Software Defined Radio. SiPS 2007: 210-215 - [i2]Himanshu Kaul, Dennis Sylvester, David T. Blaauw, Trevor N. Mudge, Todd M. Austin:
DVS for On-Chip Bus Designs Based on Timing Error Correction. CoRR abs/0710.4679 (2007) - [i1]Robert Bai, Nam Sung Kim, Taeho Kgil, Dennis Sylvester, Trevor N. Mudge:
Power-Performance Trade-Offs in Nanometer-Scale Multi-Level Caches Considering Total Leakage. CoRR abs/0710.4794 (2007) - 2006
- [j57]Shidhartha Das, David Roberts, Seokwoo Lee, Sanjay Pant, David T. Blaauw, Todd M. Austin, Krisztián Flautner, Trevor N. Mudge:
A self-tuning DVS processor using delay-error detection and correction. IEEE J. Solid State Circuits 41(4): 792-804 (2006) - [j56]Ahmed Amine Jerraya, Trevor N. Mudge:
Guest editorial: Concurrent hardware and software design for multiprocessor SoC. ACM Trans. Embed. Comput. Syst. 5(2): 259-262 (2006) - [c105]Taeho Kgil, Shaun D'Souza, Ali G. Saidi, Nathan L. Binkert, Ronald G. Dreslinski, Trevor N. Mudge, Steven K. Reinhardt, Krisztián Flautner:
PicoServer: using 3D stacking technology to enable a compact energy efficient chip multiprocessor. ASPLOS 2006: 117-128 - [c104]Taeho Kgil, Trevor N. Mudge:
FlashCache: a NAND flash memory file cache for low power web servers. CASES 2006: 103-112 - [c103]Eric Karl, David T. Blaauw, Dennis Sylvester, Trevor N. Mudge:
Reliability modeling and management in dynamic microprocessor-based systems. DAC 2006: 1057-1060 - [c102]Yuan Lin, Hyunseok Lee, Mark Woh, Yoav Harel, Scott A. Mahlke, Trevor N. Mudge, Chaitali Chakrabarti, Krisztián Flautner:
SODA: A Low-power Architecture For Software Radio. ISCA 2006: 89-101 - [c101]Hyunseok Lee, Trevor N. Mudge, Chaitali Chakrabarti:
Reducing idle mode power in software defined radio terminals. ISLPED 2006: 101-106 - [c100]Yuan Lin, Scott A. Mahlke, Trevor N. Mudge, Chaitali Chakrabarti, Alastair Reid, Krisztián Flautner:
Design and Implementation of Turbo Decoders for Software Defined Radio. SiPS 2006: 22-27 - 2005
- [j55]Taeho Kgil, Laura Falk, Trevor N. Mudge:
ChipLock: support for secure microarchitectures. SIGARCH Comput. Archit. News 33(1): 134-143 (2005) - [j54]Trevor N. Mudge:
Introduction to the Special Section on Energy Efficient Computing. IEEE Trans. Computers 54(6): 641- (2005) - [j53]Nam Sung Kim, David T. Blaauw, Trevor N. Mudge:
Quantitative analysis and optimization techniques for on-chip cache leakage power. IEEE Trans. Very Large Scale Integr. Syst. 13(10): 1147-1156 (2005) - [c99]Todd M. Austin, Valeria Bertacco, David T. Blaauw, Trevor N. Mudge:
Opportunities and challenges for better than worst-case design. ASP-DAC 2005: 2-7 - [c98]Hyunseok Lee, Trevor N. Mudge:
A dual-processor solution for the MAC layer of a software defined radio terminal. CASES 2005: 257-265 - [c97]Trevor N. Mudge:
Performance and power analysis of computer systems. CODES+ISSS 2005: 2 - [c96]Janos Sztipanovits, C. John Glossner, Trevor N. Mudge, Chris Rowen, Alberto L. Sangiovanni-Vincentelli, Wayne H. Wolf, Feng Zhao:
Grand challenges in embedded systems. CODES+ISSS 2005: 333 - [c95]Himanshu Kaul, Dennis Sylvester, David T. Blaauw, Trevor N. Mudge, Todd M. Austin:
DVS for On-Chip Bus Designs Based on Timing Error Correction. DATE 2005: 80-85 - [c94]Robert Bai, Nam Sung Kim, Taeho Kgil, Dennis Sylvester, Trevor N. Mudge:
Power-Performance Trade-Offs in Nanometer-Scale Multi-Level Caches Considering Total Leakage. DATE 2005: 650-651 - [c93]Robert Bai, Nam Sung Kim, Dennis Sylvester, Trevor N. Mudge:
Total leakage optimization strategies for multi-level caches. ACM Great Lakes Symposium on VLSI 2005: 381-384 - [c92]Hyunseok Lee, Yuan Lin, Yoav Harel, Mark Woh, Scott A. Mahlke, Trevor N. Mudge, Krisztián Flautner:
Software Defined Radio - A High Performance Embedded Challenge. HiPEAC 2005: 6-26 - [c91]Weidong Shi, Hsien-Hsin S. Lee, Guofei Gu, Laura Falk, Trevor N. Mudge, Mrinmoy Ghosh:
An Intrusion-Tolerant and Self-Recoverable Network Service System Using A Security Enhanced Chip Multiprocessor. ICAC 2005: 263-273 - [c90]Peter Suaris, Taeho Kgil, Keith A. Bowman, Vivek De, Trevor N. Mudge:
Total power-optimal pipelining and parallel processing under process variations in nanometer technology. ICCAD 2005: 535-540 - [c89]Allen C. Cheng, Gary S. Tyson, Trevor N. Mudge:
PowerFITS: Reduce Dynamic and Static I-Cache Power Using Application Specific Instruction Set Synthesis. ISPASS 2005: 32-41 - [c88]Jeff Ringenberg, Chris Pelosi, David W. Oehmke, Trevor N. Mudge:
Intrinsic Checkpointing: A Methodology for Decreasing Simulation Time Through Binary Modification. ISPASS 2005: 78-88 - [c87]David Roberts, Todd M. Austin, David T. Blaauw, Trevor N. Mudge, Krisztián Flautner:
Error Analysis for the Support of Robust Voltage Scaling. ISQED 2005: 65-70 - [c86]David W. Oehmke, Nathan L. Binkert, Trevor N. Mudge, Steven K. Reinhardt:
How to Fake 1000 Registers. MICRO 2005: 7-18 - 2004
- [j52]Todd M. Austin, David T. Blaauw, Trevor N. Mudge, Krisztián Flautner:
Making Typical Silicon Matter with Razor. Computer 37(3): 57-65 (2004) - [j51]Todd M. Austin, David T. Blaauw, Scott A. Mahlke, Trevor N. Mudge, Chaitali Chakrabarti, Wayne H. Wolf:
Mobile Supercomputers. Computer 37(5): 81-83 (2004) - [j50]Dan Ernst, Shidhartha Das, Seokwoo Lee, David T. Blaauw, Todd M. Austin, Trevor N. Mudge, Nam Sung Kim, Krisztián Flautner:
Razor: Circuit-Level Correction of Timing Errors for Low-Power Operation. IEEE Micro 24(6): 10-20 (2004) - [j49]Nam Sung Kim, Krisztián Flautner, David T. Blaauw, Trevor N. Mudge:
Circuit and microarchitectural techniques for reducing cache leakage power. IEEE Trans. Very Large Scale Integr. Syst. 12(2): 167-184 (2004) - [c85]Seokwoo Lee, Shidhartha Das, Valeria Bertacco, Todd M. Austin, David T. Blaauw, Trevor N. Mudge:
Circuit-aware architectural simulation. DAC 2004: 305-310 - [c84]Allen C. Cheng, Gary S. Tyson, Trevor N. Mudge:
FITS: framework-based instruction-set tuning synthesis for embedded application specific processors. DAC 2004: 920-923 - [c83]Trevor N. Mudge:
Low Power Robust Computing. HiPC 2004: 6 - [c82]Nam Sung Kim, Taeho Kgil, Valeria Bertacco, Todd M. Austin, Trevor N. Mudge:
Microarchitectural power modeling techniques for deep sub-micron microprocessors. ISLPED 2004: 212-217 - [c81]Seokwoo Lee, Shidhartha Das, Toan Pham, Todd M. Austin, David T. Blaauw, Trevor N. Mudge:
Reducing pipeline energy demands with local DVS and dynamic retiming. ISLPED 2004: 319-324 - 2003
- [j48]Nam Sung Kim, Todd M. Austin, David T. Blaauw, Trevor N. Mudge, Krisztián Flautner, Jie S. Hu, Mary Jane Irwin, Mahmut T. Kandemir, Narayanan Vijaykrishnan:
Leakage Current: Moore's Law Meets Static Power. Computer 36(12): 68-75 (2003) - [j47]Guang R. Gao, Trevor N. Mudge:
Special issue on compilers, architecture, and synthesis for embedded systems. ACM Trans. Embed. Comput. Syst. 2(2): 131 (2003) - [c80]Nam Sung Kim, Trevor N. Mudge, Richard B. Brown:
A 2.3Gb/s fully integrated and synthesizable AES Rijndael core. CICC 2003: 193-196 - [c79]Nam Sung Kim, David T. Blaauw, Trevor N. Mudge:
Leakage Power Optimization Techniques for Ultra Deep Sub-Micron Multi-Level Caches. ICCAD 2003: 627-632 - [c78]Nam Sung Kim, Trevor N. Mudge:
Reducing register ports using delayed write-back queues and operand pre-fetch. ICS 2003: 172-182 - [c77]Nam Sung Kim, Trevor N. Mudge:
The microarchitecture of a low power register file. ISLPED 2003: 384-389 - [c76]Dan Ernst, Nam Sung Kim, Shidhartha Das, Sanjay Pant, Rajeev R. Rao, Toan Pham, Conrad H. Ziesler, David T. Blaauw, Todd M. Austin, Krisztián Flautner, Trevor N. Mudge:
Razor: A Low-Power Pipeline Based on Circuit-Level Timing Speculation. MICRO 2003: 7-18 - 2002
- [j46]David T. Blaauw, Steven M. Martin, Trevor N. Mudge, Krisztián Flautner:
Leakage Current Reduction in VLSI Systems. J. Circuits Syst. Comput. 11(6): 621-636 (2002) - [c75]Steven M. Martin, Krisztián Flautner, Trevor N. Mudge, David T. Blaauw:
Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads. ICCAD 2002: 721-725 - [c74]Krisztián Flautner, Nam Sung Kim, Steven M. Martin, David T. Blaauw, Trevor N. Mudge:
Drowsy Caches: Simple Techniques for Reducing Leakage Power. ISCA 2002: 148-157 - [c73]Nam Sung Kim, Krisztián Flautner, David T. Blaauw, Trevor N. Mudge:
Drowsy instruction caches: leakage power reduction using dynamic voltage scaling and cache sub-bank prediction. MICRO 2002: 219-230 - [c72]Krisztián Flautner, Trevor N. Mudge:
Vertigo: Automatic Performance-Setting for Linux. OSDI 2002 - [e5]Shuvra S. Bhattacharyya, Trevor N. Mudge, Wayne H. Wolf, Ahmed Amine Jerraya:
Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems, CASES 2002, Greenoble, France, October 8-11, 2002. ACM 2002, ISBN 1-58113-575-0 [contents] - 2001
- [j45]Trevor N. Mudge:
Power: A First-Class Architectural Design Constraint. Computer 34(4): 52-58 (2001) - [j44]Bruce L. Jacob, Trevor N. Mudge:
Uniprocessor Virtual Memory without TLBs. IEEE Trans. Computers 50(5): 482-499 (2001) - [j43]Vinodh Cuppu, Bruce L. Jacob, Brian Davis, Trevor N. Mudge:
High-Performance DRAMs in Workstation Environments. IEEE Trans. Computers 50(11): 1133-1153 (2001) - [c71]Matt Postiff, David A. Greene, Steven E. Raasch, Trevor N. Mudge:
Integrating superscalar processor components to implement register caching. ICS 2001: 348-357 - [c70]Krisztián Flautner, Steven K. Reinhardt, Trevor N. Mudge:
Automatic performance setting for dynamic voltage scaling. MobiCom 2001: 260-271 - [e4]Guang R. Gao, Trevor N. Mudge, Krishna V. Palem:
Proceedings of the 2001 International Conference on Compilers, Architectures and Synthesis for Embedded Systems, CASES 2001, Atlanta, Georgia, USA, November 16-17, 2001. ACM 2001, ISBN 1-58113-399-5 [contents] - 2000
- [j42]David Van Campenhout, Trevor N. Mudge, John P. Hayes:
Collection and Analysis of Microprocessor Design Errors. IEEE Des. Test Comput. 17(4): 51-60 (2000) - [c69]Krisztián Flautner, Richard Uhlig, Steven K. Reinhardt, Trevor N. Mudge:
Thread Level Parallelism and Interactive Performance of Desktop Applications. ASPLOS 2000: 129-138 - [c68]Richard Uhlig, Trevor N. Mudge:
Trace-Driven Memory Simulation: A Survey. Performance Evaluation 2000: 97-139 - [c67]Trevor N. Mudge:
Power: A First Class Design Constraint for Future Architecture and Automation. HiPC 2000: 215-224 - [c66]Charles Lefurgy, Eva Piccininni, Trevor N. Mudge:
Reducing Code Size with Run-Time Decompression. HPCA 2000: 218-228 - [c65]Brian Davis, Bruce L. Jacob, Trevor N. Mudge:
The New DRAM Interfaces: SDRAM, RDRAM and Variants. ISHPC 2000: 26-31 - [c64]Avinoam N. Eden, Brian W. Joh, Trevor N. Mudge:
Web latency reduction via client-side prefetching. ISPASS 2000: 193-200 - [c63]Matt Postiff, David A. Greene, Trevor N. Mudge:
The store-load address table and speculative register promotion. MICRO 2000: 235-244
1990 – 1999
- 1999
- [j41]Matt Postiff, Gary S. Tyson, Trevor N. Mudge:
Performance Limits of Trace Caches. J. Instr. Level Parallelism 1 (1999) - [j40]Matthew A. Postiff, David A. Greene, Gary S. Tyson, Trevor N. Mudge:
The limits of instruction level parallelism in SPEC95 applications. SIGARCH Comput. Archit. News 27(1): 31-34 (1999) - [j39]Krisztián Flautner, Gary S. Tyson, Trevor N. Mudge:
A high level simulator integrated with the Mirv compiler. SIGARCH Comput. Archit. News 27(1): 43-46 (1999) - [j38]David Van Campenhout, Trevor N. Mudge, Karem A. Sakallah:
Timing verification of sequential dynamic circuits. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 18(5): 645-658 (1999) - [c62]David Van Campenhout, Trevor N. Mudge, John P. Hayes:
High-Level Test Generation for Design Verification of Pipelined Microprocessors. DAC 1999: 185-188 - [c61]Vinodh Cuppu, Bruce L. Jacob, Brian Davis, Trevor N. Mudge:
A Performance Comparison of Contemporary DRAM Architectures. ISCA 1999: 222-233 - [c60]Charles Lefurgy, Eva Piccininni, Trevor N. Mudge:
Evaluation of a High Performance Code Compression Method. MICRO 1999: 93-102 - 1998
- [j37]Bruce L. Jacob, Trevor N. Mudge:
Virtual Memory: Issues of Implementation. Computer 31(6): 33-43 (1998) - [j36]Bruce L. Jacob, Trevor N. Mudge:
Virtual memory in contemporary microprocessors. IEEE Micro 18(4): 60-75 (1998) - [j35]David Van Campenhout, Hussain Al-Asaad, John P. Hayes, Trevor N. Mudge, Richard B. Brown:
High-level design verification of microprocessors via error modeling. ACM Trans. Design Autom. Electr. Syst. 3(4): 581-599 (1998) - [j34]Richard B. Brown, Bruce Bernhardt, M. LaMacchia, J. Abrokwah, Phiroze N. Parakh, Todd D. Basso, Spencer M. Gold, S. Stetson, Claude R. Gauthier, D. Foster, B. Crawforth, T. McQuire, Karem A. Sakallah, Ronald J. Lomax, Trevor N. Mudge:
Overview of complementary GaAs technology for high-speed VLSI circuits. IEEE Trans. Very Large Scale Integr. Syst. 6(1): 47-51 (1998) - [c59]Bruce L. Jacob, Trevor N. Mudge:
A Look at Several Memory Management Units, TLB-Refill Mechanisms, and Page Table Organizations. ASPLOS 1998: 295-306 - [c58]Avinoam N. Eden, Trevor N. Mudge:
The YAGS Branch Prediction Scheme. MICRO 1998: 69-77 - [c57]Gary S. Tyson, Steven K. Reinhardt, Trevor N. Mudge:
Computer architecture instruction at the University of Michigan. WCAE@ISCA 1998: 2 - 1997
- [j33]Richard Uhlig, Trevor N. Mudge:
Trace-Driven Memory Simulation: A Survey. ACM Comput. Surv. 29(2): 128-170 (1997) - [j32]Kunle Olukotun, Trevor N. Mudge, Richard B. Brown:
Multilevel Optimization of Pipelined Caches. IEEE Trans. Computers 46(10): 1083-1102 (1997) - [j31]Bruce L. Jacob, Peter M. Chen, Seth R. Silverman, Trevor N. Mudge:
A Comment on "An Analytical Model for Designing Memory Hierarchies". IEEE Trans. Computers 46(10): 1151 (1997) - [j30]Richard Uhlig, David Nagle, Trevor N. Mudge, Stuart Sechrest:
Trap-Driven Memory Simulation with Tapeworm II. ACM Trans. Model. Comput. Simul. 7(1): 7-41 (1997) - [c56]Bruce L. Jacob, Trevor N. Mudge:
Software-Managed Address Translation. HPCA 1997: 156-167 - [c55]I-Cheng K. Chen, Chih-Chieh Lee, Matt Postiff, Trevor N. Mudge:
Design Optimization for High-speed Per-address Two-level Branch Predictors. ICCD 1997: 88-96 - [c54]I-Cheng K. Chen, Chih-Chieh Lee, Trevor N. Mudge:
Instruction Prefetching Using Branch Prediction Information. ICCD 1997: 593-601 - [c53]James Dundas, Trevor N. Mudge:
Improving Data Cache Performance by Pre-Executing Instructions Under a Cache Miss. International Conference on Supercomputing 1997: 68-75 - [c52]Chih-Chieh Lee, I-Cheng K. Chen, Trevor N. Mudge:
The bi-Mode Branch Predictor. MICRO 1997: 4-13 - [c51]Charles Lefurgy, Peter L. Bird, I-Cheng K. Chen, Trevor N. Mudge:
Improving Code Density Using Compression Techniques. MICRO 1997: 194-203 - [e3]Andrew R. Pleszkun, Trevor N. Mudge:
Proceedings of the 24th International Symposium on Computer Architecture, Denver, Colorado, USA, June 2-4, 1997. ACM 1997, ISBN 0-89791-901-7 [contents] - 1996
- [j29]Trevor N. Mudge:
Strategic Directions in Computer Architecture. ACM Comput. Surv. 28(4): 671-678 (1996) - [j28]Trevor N. Mudge:
Report on the panel: "how can computer architecture researchers avoid becoming the society for irreproducible results?". SIGARCH Comput. Archit. News 24(1): 1-5 (1996) - [j27]Bruce L. Jacob, Peter M. Chen, Seth R. Silverman, Trevor N. Mudge:
An Analytical Model for Designing Memory Hierarchies. IEEE Trans. Computers 45(10): 1180-1194 (1996) - [c50]I-Cheng K. Chen, John T. Coffey, Trevor N. Mudge:
Analysis of Branch Prediction Via Data Compression. ASPLOS 1996: 128-137 - [c49]David Van Campenhout, Trevor N. Mudge, Karem A. Sakallah:
Timing verification of sequential domino circuits. ICCAD 1996: 127-132 - [c48]Stuart Sechrest, Chih-Chieh Lee, Trevor N. Mudge:
Correlation and Aliasing in Dynamic Branch Predictors. ISCA 1996: 22-32 - [c47]Jim Pierce, Trevor N. Mudge:
Wrong-path Instruction Prefetching. MICRO 1996: 165-175 - [c46]Bruce L. Jacob, Trevor N. Mudge:
The trading function in action. ACM SIGOPS European Workshop 1996: 241-247 - 1995
- [j26]Timothy M. Burks, Karem A. Sakallah, Trevor N. Mudge:
Critical paths in circuits with level-sensitive latches. IEEE Trans. Very Large Scale Integr. Syst. 3(2): 273-291 (1995) - [c45]Timothy J. Stanley, Trevor N. Mudge:
Systematic objective-driven computer architecture optimization. ARVLSI 1995: 286-303 - [c44]Timothy J. Stanley, Trevor N. Mudge:
A Parallel Genetic Algorithm for Multiobjective Microprocessor Design. ICGA 1995: 597-604 - [c43]Richard Uhlig, David Nagle, Trevor N. Mudge, Stuart Sechrest, Joel S. Emer:
Instruction Fetching: Coping with Code Bloat. ISCA 1995: 345-356 - [c42]Stuart Sechrest, Chih-Chieh Lee, Trevor N. Mudge:
The role of adaptivity in two-level adaptive branch prediction. MICRO 1995: 264-269 - [e2]Trevor N. Mudge, Kemal Ebcioglu:
Proceedings of the 28th Annual International Symposium on Microarchitecture, Ann Arbor, Michigan, USA, November 29 - December 1, 1995. ACM / IEEE Computer Society 1995, ISBN 0-8186-7349-4 [contents] - 1994
- [j25]Richard Uhlig, David Nagle, Timothy J. Stanley, Trevor N. Mudge, Stuart Sechrest, Richard B. Brown:
Design Tradeoffs for Software-Managed TLBs. ACM Trans. Comput. Syst. 12(3): 175-205 (1994) - [c41]Michael Upton, Thomas Huff, Trevor N. Mudge, Richard B. Brown:
Resource Allocation in a High Clock Rate Microprocessor. ASPLOS 1994: 98-109 - [c40]Richard Uhlig, David Nagle, Trevor N. Mudge, Stuart Sechrest:
Trap-driven Simulation with Tapeworm II. ASPLOS 1994: 132-144 - [c39]Jim Pierce, Trevor N. Mudge:
The Effect of Speculative Execution on Cache Performance. IPPS 1994: 172-179 - [c38]David Nagle, Richard Uhlig, Trevor N. Mudge, Stuart Sechrest:
Optimal Allocation of On-Chip Memory for Multiple-API Operating Systems. ISCA 1994: 358-369 - [c37]Jim Pierce, Trevor N. Mudge:
IDtrace - A Tracing Tool for i486 Simulation. MASCOTS 1994: 419-420 - [c36]Michael Golden, Trevor N. Mudge:
A comparison of two pipeline organizations. MICRO 1994: 153-161 - [c35]Richard Uhlig, David Nagle, Trevor N. Mudge, Stuart Sechrest:
Kernel-Based Memory Simulation. SIGMETRICS 1994: 286-287 - 1993
- [j24]Karem A. Sakallah, Trevor N. Mudge, Timothy M. Burks, Edward S. Davidson:
Synchronization of pipelines. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 12(8): 1132-1146 (1993) - [c34]David Nagle, Richard Uhlig, Timothy J. Stanley, Stuart Sechrest, Trevor N. Mudge, Richard B. Brown:
Design Tradeoffs for Software-Managed TLBs. ISCA 1993: 27-38 - [c33]Timothy J. Stanley, Michael Upton, Patrick Sherhart, Trevor N. Mudge, Richard B. Brown:
A microarchitectural performance evaluation of a 3.2 Gbyte/s microprocessor bus. MICRO 1993: 31-40 - 1992
- [j23]Karem A. Sakallah, Trevor N. Mudge, Oyekunle A. Olukotun:
Analysis and design of latch-controlled synchronous digital circuits. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 11(3): 322-333 (1992) - [c32]Timothy M. Burks, Karem A. Sakallah, Trevor N. Mudge:
Identification of critical paths in circuits with level-sensitive latches. ICCAD 1992: 137-141 - [c31]Kunle Olukotun, Trevor N. Mudge, Richard B. Brown:
Performance Optimization of Pipelined Primary Caches. ISCA 1992: 181-190 - [e1]Trevor N. Mudge:
Proceedings of the 1992 International Conference on Parallel Processing, University of Michigan, An Arbor, Michigan, USA, August 17-21, 1992. Volume I: Architecture. CRC Press 1992, ISBN 0-8493-0781-3 [contents] - 1991
- [j22]Trevor N. Mudge, Richard B. Brown, William P. Bimingham, Jeffrey A. Dykstra, Ayman I. Kayssi, Ronald J. Lomax, Kunle Olukotun, Karem A. Sakallah, Raymond A. Milano:
The Design of a Microsupercomputer. Computer 24(1): 57-64 (1991) - [c30]Karem A. Sakallah, Trevor N. Mudge, Timothy M. Burks, Edward S. Davidson:
Optimal Clocking of Circular Pipelines. ICCD 1991: 642-650 - [c29]Kunle Olukotun, Trevor N. Mudge, Richard B. Brown:
Implementing a Cache for a High-Performance GaAs Microprocessor. ISCA 1991: 138-147 - 1990
- [j21]Russell M. Clapp, Trevor N. Mudge, Donald C. Winsor:
Cache coherence requirements for interprocess rendezvous. Int. J. Parallel Program. 19(1): 31-51 (1990) - [j20]Oyekunle A. Olukotun, Trevor N. Mudge:
Hierarchical Gate-Array Routing on a Hypercube Multiprocessor. J. Parallel Distributed Comput. 8(4): 313-324 (1990) - [c28]Karem A. Sakallah, Trevor N. Mudge, Kunle Olukotun:
Analysis and Design of Latch-Controlled Synchronous Digital Circuits. DAC 1990: 111-117 - [c27]Karem A. Sakallah, Trevor N. Mudge, Kunle Olukotun:
check Tc and min Tc: Timing Verification and Optimal Clocking of Synchronous Digtal Circuits. ICCAD 1990: 552-555 - [c26]Russell M. Clapp, Trevor N. Mudge:
A rationale for the design and implementation of Ada benchmark programs. Working Group on Ada Performance Issues 1990: 8-13 - [c25]Russell M. Clapp, Trevor N. Mudge:
Taxonomy of benchmarks. Working Group on Ada Performance Issues 1990: 14-19 - [c24]Russell M. Clapp, Trevor N. Mudge:
The time problem. Working Group on Ada Performance Issues 1990: 20-28 - [c23]Russell M. Clapp, Trevor N. Mudge:
The space problem. Working Group on Ada Performance Issues 1990: 29-32 - [c22]Russell M. Clapp, Trevor N. Mudge:
Parallel and distributed issues. Working Group on Ada Performance Issues 1990: 33-37 - [c21]Russell M. Clapp, Trevor N. Mudge:
Optimization. Working Group on Ada Performance Issues 1990: 59 - [c20]Russell M. Clapp, Trevor N. Mudge, Daniel M. Roy:
Recommendations and future trends. Working Group on Ada Performance Issues 1990: 98-110
1980 – 1989
- 1989
- [j19]Paul G. Gottschalk, Jerry L. Turney, Trevor N. Mudge:
Efficient Recognition of Partially Visible Objects Using a Logarithmic Complexity Matching Technique. Int. J. Robotics Res. 8(6): 110-131 (1989) - [j18]John P. Hayes, Trevor N. Mudge:
Hypercube supercomputers. Proc. IEEE 77(12): 1829-1841 (1989) - [j17]Richard A. Volz, Trevor N. Mudge, Gregory D. Buzzard, Padmanabhan Krishnan:
Translation and Execution of Distributed Ada Programs: Is It Still Ada? IEEE Trans. Software Eng. 15(3): 281-292 (1989) - 1988
- [c19]Russell M. Clapp, Trevor N. Mudge:
Ada on hypercube. C³P 1988: 399-408 - [c18]Gregory D. Buzzard, Trevor N. Mudge:
High performance hypercube communications. C³P 1988: 600-609 - [c17]Tarek Saad Abdel-Rahman, Trevor N. Mudge:
Parallel branch and bound algorithms on hypercube multiprocessors. C³P 1988: 1492-1499 - [c16]Donald C. Winsor, Trevor N. Mudge:
Analysis of Bus Hierarchies for Multiprocessors. ISCA 1988: 100-107 - 1987
- [j16]Trevor N. Mudge, John P. Hayes, Donald C. Winsor:
Multiple Bus Architectures. Computer 20(6): 42-48 (1987) - [j15]Trevor N. Mudge, Tarek Saad Abdel-Rahman:
Vision Algorithms for Hypercube Machines. J. Parallel Distributed Comput. 4(1): 79-94 (1987) - [j14]Trevor N. Mudge, Jerry L. Turney, Richard A. Volz:
Automatic generation of salient features for the recognition of partially occluded parts. Robotica 5(2): 117-127 (1987) - [j13]Richard A. Volz, Trevor N. Mudge:
Timing Issues in the Distributed Execution of Ada Programs. IEEE Trans. Computers 36(4): 449-459 (1987) - [j12]Richard A. Volz, Trevor N. Mudge:
Instruction Level Timing Mechanisms for Accurate Real-Time Task Scheduling. IEEE Trans. Computers 36(8): 988-993 (1987) - [c15]Kunle Olukotun, Trevor N. Mudge:
A Preliminary Investigation into Parallel Routing on a Hypercube Computer. DAC 1987: 814-820 - [c14]Donald C. Winsor, Trevor N. Mudge:
Crosspoint Cache Architectures. ICPP 1987: 266-269 - [c13]Joonhee Han, Richard A. Volz, Trevor N. Mudge:
Range image segmentation and surface parameter extraction for 3-D object recognition of industrial parts. ICRA 1987: 380-386 - [c12]Paul G. Gottschalk, Jerry L. Turney, Trevor N. Mudge:
Two-dimensional partially visible object recognition using efficient multidimensional range queries. ICRA 1987: 1582-1589 - [c11]Trevor N. Mudge:
Units of distribution for distributed Ada. IRTAW 1987: 64-66 - 1986
- [j11]Russell M. Clapp, Louis Duchesneau, Richard A. Volz, Trevor N. Mudge, Timothy Schultze:
Toward Real-Time Performance Benchmarks for Ada. Commun. ACM 29(8): 760-778 (1986) - [j10]Trevor N. Mudge, John P. Hayes, Gregory D. Buzzard, Donald C. Winsor:
Analysis of Multiple-Bus Interconnection Networks. J. Parallel Distributed Comput. 3(3): 328-343 (1986) - [j9]John P. Hayes, Trevor N. Mudge, Quentin F. Stout, Stephen Colley, John Palmer:
A Microprocessor-based Hypercube Supercomputer. IEEE Micro 6(5): 6-17 (1986) - [j8]Russell M. Clapp, Trevor N. Mudge, Richard A. Volz:
Solutions to the n Queens problem using tasking in Ada. ACM SIGPLAN Notices 21(12): 99-110 (1986) - [c10]John P. Hayes, Trevor N. Mudge, Quentin F. Stout:
Architecture of a Hypercube Supercomputer. ICPP 1986: 653-660 - [c9]Richard A. Volz, Trevor N. Mudge:
Instruction Level Mechanisms for Accurate Real-time Task Scheduling. RTSS 1986: 209-215 - 1985
- [j7]Gregory D. Buzzard, Trevor N. Mudge:
Object-Based Computing and the Ada Programming Language. Computer 18(3): 11-19 (1985) - [j6]Jerry L. Turney, Trevor N. Mudge, Richard A. Volz:
Recognizing Partially Occluded Parts. IEEE Trans. Pattern Anal. Mach. Intell. 7(4): 410-421 (1985) - [j5]Trevor N. Mudge, Humoud B. Al-Sadoun:
A Semi-Markov Model for the Performance of Multiple-Bus Systems. IEEE Trans. Computers 34(10): 934-942 (1985) - [c8]Trevor N. Mudge, Humoud B. Al-Sadoun:
A Semi-Markov Model for the Performance of Multiple-Bus Systems. ICPP 1985: 521-530 - [c7]Jerry L. Turney, Trevor N. Mudge, Richard A. Volz:
Recognizing partially hidden objects. ICRA 1985: 48-54 - [c6]Richard A. Volz, Trevor N. Mudge, Arch W. Naylor, John H. Mayer:
Some problems in distributing real-time Ada programs across machines. SIGAda 1985: 72-84 - 1984
- [j4]Trevor N. Mudge, Humoud B. Al-Sadoun:
Memory Interference Models with Variable Connection Time. IEEE Trans. Computers 33(11): 1033-1038 (1984) - [j3]Rob A. Rutenbar, Trevor N. Mudge, Daniel E. Atkins:
A Class of Cellular Architectures to Support Physical Design Automation. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 3(4): 264-278 (1984) - [j2]Richard A. Volz, Trevor N. Mudge, David A. Gal:
Using Ada as a programming language for robot-based manufacturing cells. IEEE Trans. Syst. Man Cybern. 14(6): 863-878 (1984) - [c5]Charles J. Antonelli, Richard A. Volz, Trevor N. Mudge:
Hierarchical decomposition and simulation of manufacturing cells. WSC 1984: 414-423 - 1983
- [c4]Trevor N. Mudge, Abdel-Rahman H. Tawil:
Efficiency of Feature Dependent Algorithms for the Parallel Processing of Images. ICPP 1983: 369-373 - 1982
- [c3]Trevor N. Mudge, Rob A. Rutenbar, Robert M. Lougheed, Daniel E. Atkins:
Cellular image processing techniques for VLSI circuit layout validation and routing. DAC 1982: 537-543 - [c2]Trevor N. Mudge, B. A. Makrucki:
An Approximate Queueing Model for Packet Switched Multistage Interconnection Networks. ICDCS 1982: 556-562 - [c1]Trevor N. Mudge, B. A. Makrucki:
Probabilistic analysis of a crossbar switch. ISCA 1982: 311-320 - 1980
- [j1]Trevor N. Mudge:
Review of The structure of computers and computation Vol. I by David J. Kuck. John Wiley & and Sons 1978. SIGARCH Comput. Archit. News 8(6): 44-45 (1980)
1970 – 1979
- 1977
- [b1]Trevor N. Mudge:
A Computer Hardware Design Language for Multiprocessor Systems. University of Illinois Urbana-Champaign, USA, 1977
Coauthor Index
aka: Ronald Dreslinski Jr.
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.
Unpaywalled article links
Add open access links from to the list of external document links (if available).
Privacy notice: By enabling the option above, your browser will contact the API of unpaywall.org to load hyperlinks to open access articles. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Unpaywall privacy policy.
Archived links via Wayback Machine
For web page which are no longer available, try to retrieve content from the of the Internet Archive (if available).
Privacy notice: By enabling the option above, your browser will contact the API of archive.org to check for archived content of web pages that are no longer available. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Internet Archive privacy policy.
Reference lists
Add a list of references from , , and to record detail pages.
load references from crossref.org and opencitations.net
Privacy notice: By enabling the option above, your browser will contact the APIs of crossref.org, opencitations.net, and semanticscholar.org to load article reference information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Crossref privacy policy and the OpenCitations privacy policy, as well as the AI2 Privacy Policy covering Semantic Scholar.
Citation data
Add a list of citing articles from and to record detail pages.
load citations from opencitations.net
Privacy notice: By enabling the option above, your browser will contact the API of opencitations.net and semanticscholar.org to load citation information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the OpenCitations privacy policy as well as the AI2 Privacy Policy covering Semantic Scholar.
OpenAlex data
Load additional information about publications from .
Privacy notice: By enabling the option above, your browser will contact the API of openalex.org to load additional information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the information given by OpenAlex.
last updated on 2024-10-07 21:21 CEST by the dblp team
all metadata released as open data under CC0 1.0 license
see also: Terms of Use | Privacy Policy | Imprint