default search action
Yongpan Liu
Person information
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
2020 – today
- 2024
- [j90]Jinshan Yue, Yongpan Liu, Xiaoyu Feng, Yifan He, Jingyu Wang, Zhe Yuan, Mingtao Zhan, Jiaxin Liu, Jian-Wei Su, Yen-Lin Chung, Ping-Chun Wu, Li-Yang Hong, Meng-Fan Chang, Nan Sun, Chunmeng Dou, Xueqing Li, Ming Liu, Huazhong Yang:
An Energy-Efficient Computing-in-Memory NN Processor With Set-Associate Blockwise Sparsity and Ping-Pong Weight Update. IEEE J. Solid State Circuits 59(5): 1612-1627 (2024) - [j89]Guodong Yin, Yiming Chen, Mufeng Zhou, Wenjun Tang, Mingyen Lee, Zekun Yang, Tianyu Liao, Xirui Du, Vijaykrishnan Narayanan, Huazhong Yang, Hongyang Jia, Yongpan Liu, Xueqing Li:
Cramming More Weight Data Onto Compute-in-Memory Macros for High Task-Level Energy Efficiency Using Custom ROM With 3984-kb/mm2 Density in 65-nm CMOS. IEEE J. Solid State Circuits 59(6): 1912-1925 (2024) - [j88]Shengzhe Yan, Jinshan Yue, Chaojie He, Zi Wang, Zhaori Cong, Yifan He, Mufeng Zhou, Wenyu Sun, Xueqing Li, Chunmeng Dou, Feng Zhang, Huazhong Yang, Yongpan Liu, Ming Liu:
A 28-nm Floating-Point Computing-in-Memory Processor Using Intensive-CIM Sparse-Digital Architecture. IEEE J. Solid State Circuits 59(8): 2630-2643 (2024) - [j87]Xiaoyu Feng, Wenyu Sun, Chen Tang, Xinyuan Lin, Jinshan Yue, Huazhong Yang, Yongpan Liu:
A 28-nm Energy-Efficient Sparse Neural Network Processor for Point Cloud Applications Using Block-Wise Online Neighbor Searching. IEEE J. Solid State Circuits 59(9): 3070-3081 (2024) - [j86]Ruoyang Liu, Chenhan Wei, Yixiong Yang, Wenxun Wang, Binbin Yuan, Huazhong Yang, Yongpan Liu:
A Dynamic Execution Neural Network Processor for Fine-Grained Mixed-Precision Model Training Based on Online Quantization Sensitivity Analysis. IEEE J. Solid State Circuits 59(9): 3082-3093 (2024) - [j85]Jingyu Wang, Lu Zhang, Xueqing Li, Huazhong Yang, Yongpan Liu:
ULSeq-TA: Ultra-Long Sequence Attention Fusion Transformer Accelerator Supporting Grouped Sparse Softmax and Dual-Path Sparse LayerNorm. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 43(3): 892-905 (2024) - [j84]Lu Zhang, Dejun Mu, Jingyu Wang, Ruoyang Liu, Yifan He, Yaolei Li, Yu Tai, Shengbing Zhang, Xiaoya Fan, Huazhong Yang, Yongpan Liu:
RE-Specter: Examining the Architectural Features of Configurable CNN With Power Side-Channel. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 43(10): 2916-2929 (2024) - [j83]Jialong Liu, Wenjun Tang, Hongtian Li, Deyun Chen, Weihang Long, Yongpan Liu, Chen Jiang, Huazhong Yang, Xueqing Li:
TFT-Based Near-Sensor In-Memory Computing: Circuits and Architecture Perspectives of Large-Area eDRAM and ROM CiM Chips. IEEE Trans. Circuits Syst. I Regul. Pap. 71(2): 620-633 (2024) - [j82]Chaojie He, Zi Wang, Feibin Xiang, Zhuoyu Dai, Yifan He, Jinshan Yue, Yongpan Liu:
LSAC: A Low-Power Adder Tree for Digital Computing-in-Memory by Sparsity and Approximate Circuits Co-Design. IEEE Trans. Circuits Syst. II Express Briefs 71(2): 852-856 (2024) - [j81]Yuxuan Huang, Yongpan Liu, Lifu Cheng, Kuntuo Zhu, Kui Liu:
Weight and Multiply-Accumulation Sparsity-Aware Non-Volatile Computing-in-Memory System. IEEE Trans. Circuits Syst. II Express Briefs 71(4): 1854-1858 (2024) - [j80]Yiming Chen, Mingyen Lee, Guohao Dai, Mufeng Zhou, Nagadastagiri Challapalle, Tianyi Wang, Yao Yu, Yongpan Liu, Yu Wang, Huazhong Yang, Vijaykrishnan Narayanan, Xueqing Li:
GRAPHIC: Gather and Process Harmoniously in the Cache With High Parallelism and Flexibility. IEEE Trans. Emerg. Top. Comput. 12(1): 84-96 (2024) - [j79]Jianfeng Wang, Zhonghao Chen, Jiahao Zhang, Yixin Xu, Tongguang Yu, Ziheng Zheng, Enze Ye, Sumitha George, Huazhong Yang, Yongpan Liu, Kai Ni, Vijaykrishnan Narayanan, Xueqing Li:
A Module-Level Configuration Methodology for Programmable Camouflaged Logic. ACM Trans. Design Autom. Electr. Syst. 29(2): 39:1-39:31 (2024) - [c147]Xiaoyu Feng, Wenyu Sun, Xinyuan Lin, Shupei Fan, Huazhong Yang, Yongpan Liu:
A 28nm 1.2GHz 5.27TOPS/W Scalable Vision/Point Cloud Deep Fusion Processor with CAM-based Universal Mapping Unit for BEVFusion Applications. CICC 2024: 1-2 - [c146]Guodong Yin, Yiming Chen, Mingyen Lee, Xirui Du, Yue Ke, Wenjun Tang, Zhonghao Chen, Mufeng Zhou, Jinshan Yue, Huazhong Yang, Hongyang Jia, Yongpan Liu, Xueqing Li:
A 28nm 8928Kb/mm2-Weight-Density Hybrid SRAM/ROM Compute-in-Memory Architecture Reducing >95% Weight Loading from DRAM. CICC 2024: 1-2 - [c145]Bowen Liu, Yangkun Hou, Yueshan Qin, Jiwei Zou, Hanbin Ma, Yongpan Liu, Huazhong Yang, Xueqing Li, Chen Jiang:
A 1024-Channel Neurostimulation System Enabled by Photolithographic Organic Thin-Film Transistors with High Uniformity. ISCAS 2024: 1-5 - [c144]Yifan He, Shupei Fan, Xuan Li, Luchang Lei, Wenbin Jia, Chen Tang, Yaolei Li, Zongle Huang, Zhike Du, Jinshan Yue, Xueqing Li, Huazhong Yang, Hongyang Jia, Yongpan Liu:
34.7 A 28nm 2.4Mb/mm2 6.9 - 16.3TOPS/mm2 eDRAM-LUT-Based Digital-Computing-in-Memory Macro with In-Memory Encoding and Refreshing. ISSCC 2024: 578-580 - [c143]Zhuoyu Dai, Shengzhe Yan, Zhaori Cong, Zeyu Guo, Yifan He, Wenyu Sun, Chunmeng Dou, Feng Zhang, Jinshan Yue, Yongpan Liu, Ming Liu:
A 41.7TOPS/W@INT8 Computing-in-Memory Processor with Zig-Zag Backbone-Systolic CIM and Block/Self-Gating CAM for NN/Recommendation Applications. VLSI Technology and Circuits 2024: 1-2 - [c142]Chen Tang, Xinyuan Lin, Zongle Huang, Wenyu Sun, Hongyang Jia, Yongpan Liu:
A 28nm 4.35TOPS/mm2 Transformer Accelerator with Basis-vector Based Ultra Storage Compression, Decomposed Computation and Unified LUT-Assisted Cores. VLSI Technology and Circuits 2024: 1-2 - [i17]Zongle Huang, Shupei Fan, Chen Tang, Xinyuan Lin, Shuwen Deng, Yongpan Liu:
Hecaton: Training and Finetuning Large Language Models with Scalable Chiplet Systems. CoRR abs/2407.05784 (2024) - 2023
- [j78]Xiangxing Yang, Keren Zhu, Xiyuan Tang, Meizhi Wang, Mingtao Zhan, Nanshu Lu, Jaydeep P. Kulkarni, David Z. Pan, Yongpan Liu, Nan Sun:
An In-Memory-Computing Charge-Domain Ternary CNN Classifier. IEEE J. Solid State Circuits 58(5): 1450-1461 (2023) - [j77]Tongda Wu, Kaisheng Ma, Jingtong Hu, Jason Xue, Jinyang Li, Xin Shi, Huazhong Yang, Yongpan Liu:
Reliable and Efficient Parallel Checkpointing Framework for Nonvolatile Processor With Concurrent Peripherals. IEEE Trans. Circuits Syst. I Regul. Pap. 70(1): 228-240 (2023) - [j76]Wenjun Tang, Mingyen Lee, Juejian Wu, Yixin Xu, Yao Yu, Yongpan Liu, Kai Ni, Yu Wang, Huazhong Yang, Vijaykrishnan Narayanan, Xueqing Li:
FeFET-Based Logic-in-Memory Supporting SA-Free Write-Back and Fully Dynamic Access With Reduced Bitline Charging Activity and Recycled Bitline Charge. IEEE Trans. Circuits Syst. I Regul. Pap. 70(6): 2398-2411 (2023) - [j75]Yiming Chen, Guodong Yin, Mufeng Zhou, Wenjun Tang, Zekun Yang, Mingyen Lee, Xirui Du, Jinshan Yue, Jiaxin Liu, Huazhong Yang, Yongpan Liu, Xueqing Li:
SAMBA: Single-ADC Multi-Bit Accumulation Compute-in-Memory Using Nonlinearity- Compensated Fully Parallel Analog Adder Tree. IEEE Trans. Circuits Syst. I Regul. Pap. 70(7): 2762-2773 (2023) - [j74]Yanan Sun, Dengfeng Wang, Liukai Xu, Yiming Chen, Zhi Li, Songyuan Liu, Weifeng He, Yongpan Liu, Huazhong Yang, Xueqing Li:
CREAM: Computing in ReRAM-Assisted Energy- and Area-Efficient SRAM for Reliable Neural Network Acceleration. IEEE Trans. Circuits Syst. I Regul. Pap. 70(8): 3198-3211 (2023) - [j73]Wenjun Tang, Jialong Liu, Chen Sun, Zijie Zheng, Yongpan Liu, Huazhong Yang, Chen Jiang, Kai Ni, Xiao Gong, Xueqing Li:
Low-Power and Scalable BEOL-Compatible IGZO TFT eDRAM-Based Charge-Domain Computing. IEEE Trans. Circuits Syst. I Regul. Pap. 70(12): 5166-5179 (2023) - [j72]Yifan He, Jinshan Yue, Xiaoyu Feng, Yuxuan Huang, Hongyang Jia, Jingyu Wang, Lu Zhang, Wenyu Sun, Huazhong Yang, Yongpan Liu:
An RRAM-Based Digital Computing-in-Memory Macro With Dynamic Voltage Sense Amplifier and Sparse-Aware Approximate Adder Tree. IEEE Trans. Circuits Syst. II Express Briefs 70(2): 416-420 (2023) - [j71]Lu Zhang, Dejun Mu, Yuxuan Huang, Jingyu Wang, Yifan He, Yaolei Li, Lizhou Liu, Kaiwei Zou, Huazhong Yang, Yongpan Liu:
Pareto Frequency-Aware Power Side-Channel Countermeasure Exploration on CNN Systolic Array. IEEE Trans. Circuits Syst. II Express Briefs 70(3): 1124-1128 (2023) - [j70]Yaolei Li, Jinshan Yue, Jingyu Wang, Chen Tang, Yifan He, Wenbin Jia, Kaiwei Zou, Lu Zhang, Huazhong Yang, Yongpan Liu:
A Weight-Reload-Eliminated Compute-in-Memory Accelerator for 60 fps 4K Super-Resolution. IEEE Trans. Circuits Syst. II Express Briefs 70(3): 1179-1183 (2023) - [j69]Yiming Chen, Yushen Fu, Mingyen Lee, Sumitha George, Yongpan Liu, Vijaykrishnan Narayanan, Huazhong Yang, Xueqing Li:
FAST: A Fully-Concurrent Access SRAM Topology for High Row-Wise Parallelism Applications Based on Dynamic Shift Operations. IEEE Trans. Circuits Syst. II Express Briefs 70(4): 1605-1609 (2023) - [j68]Songming Yu, Yifan He, Hongyang Jia, Wenyu Sun, Mufeng Zhou, Luchang Lei, Wentao Zhao, Guofu Ma, Huazhong Yang, Yongpan Liu:
A Heterogeneous Microprocessor Based on All-Digital Compute-in-Memory for End-to-End AIoT Inference. IEEE Trans. Circuits Syst. II Express Briefs 70(8): 3099-3103 (2023) - [j67]Bin Xu, Lizhou Liu, Zhaotian Yan, Zhuqing Yuan, Lu Zhang, Wei Zhou, Ruikun Mai, Zhengyou He, Yongpan Liu, Huazhong Yang:
Modularized Equalization Architecture With Transformer-Based Integrating Voltage Equalizer for the Series-Connected Battery Pack in Electric Bicycles. IEEE Trans. Ind. Electron. 70(7): 6984-6992 (2023) - [c141]Xiaoyu Feng, Heming Du, Hehe Fan, Yueqi Duan, Yongpan Liu:
SEFormer: Structure Embedding Transformer for 3D Object Detection. AAAI 2023: 632-640 - [c140]Xiaoyu Feng, Wenyu Sun, Shupei Fan, Chen Tang, Yixiong Yang, Jinshan Yue, Qingmin Liao, Huazhong Yang, Yongpan Liu:
A Demonstration Platform for Large-Scaled Point Cloud Network Based on 28nm 2D/3D Unified Sparse Convolution Accelerator. AICAS 2023: 1-2 - [c139]Ruoyang Liu, Chenhan Wei, Yixiong Yang, Wenxun Wang, Huazhong Yang, Yongpan Liu:
Block-Wise Dynamic-Precision Neural Network Training Acceleration via Online Quantization Sensitivity Analytics. ASP-DAC 2023: 372-377 - [c138]Xiaoyu Feng, Chen Tang, Zongkai Zhang, Wenyu Sun, Yongpan Liu:
Semantic Guided Fine-Grained Point Cloud Quantization Framework for 3D Object Detection. ASP-DAC 2023: 390-395 - [c137]Hedi Wang, Zengwei Wang, Yaolei Li, Chen Tang, Jinxu Gao, Huazhong Yang, Yongpan Liu:
A 28nm 386.5GOPS/W Coarse-Grained DSP Using Configurable Processing Elements for Always-on Computation with FPGA Implementation. A-SSCC 2023: 1-3 - [c136]Yixiong Yang, Ruoyang Liu, Chenhan Wei, Wenxun Wang, Wenyu Sun, Jinshan Yue, Huazhong Yang, Yongpan Liu:
A 28nm 1.07TFLOPS/mm2 Dynamic-Precision Training Processor with Online Dynamic Execution and Multi- Level-Aligned Block-FP Processing. CICC 2023: 1-2 - [c135]Mingyen Lee, Wenjun Tang, Yiming Chen, Juejian Wu, Hongtao Zhong, Yixin Xu, Yongpan Liu, Huazhong Yang, Vijaykrishnan Narayanan, Xueqing Li:
Victor: A Variation-resilient Approach Using Cell-Clustered Charge-domain computing for High-density High-throughput MLC CiM. DAC 2023: 1-6 - [c134]Hongtao Zhong, Zhonghao Chen, Wenqin Huangfu, Chen Wang, Yixin Xu, Tianyi Wang, Yao Yu, Yongpan Liu, Vijaykrishnan Narayanan, Huazhong Yang, Xueqing Li:
ASMCap: An Approximate String Matching Accelerator for Genome Sequence Analysis Based on Capacitive Content Addressable Memory. DAC 2023: 1-6 - [c133]Wenxun Wang, Shuchang Zhou, Wenyu Sun, Peiqin Sun, Yongpan Liu:
SOLE: Hardware-Software Co-design of Softmax and LayerNorm for Efficient Transformer Inference. ICCAD 2023: 1-9 - [c132]Juejian Wu, Tianyu Liao, Taixin Li, Yixin Xu, Vijaykrishnan Narayanan, Yongpan Liu, Huazhong Yang, Xueqing Li:
Lowering Latency of Embedded Memory by Exploiting In-Cell Victim Cache Hierarchy Based on Emerging Multi-Level Memory Devices. ICCAD 2023: 1-9 - [c131]Kaiwei Zou, Songyun Qu, Wen Li, Ying Wang, Huawei Li, Yongpan Liu:
Communication-aware Quantization for Deep Learning Inference Parallelization on Chiplet-based Accelerators. ICPADS 2023: 1123-1130 - [c130]Zi Wang, Jinshan Yue, Chaojie He, Zhuoyu Dai, Feibin Xiang, Zhaori Cong, Yifan He, Xiaoyu Feng, Yongpan Liu:
A User-Friendly Fast and Accurate Simulation Framework for Non-Ideal Factors in Computing-in-Memory Architecture. ISCAS 2023: 1-5 - [c129]Yifan He, Haikang Diao, Chen Tang, Wenbin Jia, Xiyuan Tang, Yuan Wang, Jinshan Yue, Xueqing Li, Huazhong Yang, Hongyang Jia, Yongpan Liu:
A 28nm 38-to-102-TOPS/W 8b Multiply-Less Approximate Digital SRAM Compute-In-Memory Macro for Neural-Network Inference. ISSCC 2023: 130-131 - [c128]Jinshan Yue, Chaojie He, Zi Wang, Zhaori Cong, Yifan He, Mufeng Zhou, Wenyu Sun, Xueqing Li, Chunmeng Dou, Feng Zhang, Huazhong Yang, Yongpan Liu, Ming Liu:
A 28nm 16.9-300TOPS/W Computing-in-Memory Processor Supporting Floating-Point NN Inference/Training with Intensive-CIM Sparse-Digital Architecture. ISSCC 2023: 252-253 - [c127]Wenyu Sun, Xiaoyu Feng, Chen Tang, Shupei Fan, Yixiong Yang, Jinshan Yue, Huazhong Yang, Yongpan Liu:
A 28nm 2D/3D Unified Sparse Convolution Accelerator with Block-Wise Neighbor Searcher for Large-Scaled Voxel-Based Point Cloud Network. ISSCC 2023: 328-329 - [c126]Hongtao Zhong, Yu Zhu, Longfei Luo, Taixin Li, Chen Wang, Yixin Xu, Tianyi Wang, Yao Yu, Vijaykrishnan Narayanan, Yongpan Liu, Liang Shi, Huazhong Yang, Xueqing Li:
Fe-GCN: A 3D FeFET Memory Based PIM Accelerator for Graph Convolutional Networks. ISVLSI 2023: 1-6 - [c125]Jinshan Yue, Mingtao Zhan, Zi Wang, Yifan He, Yaolei Li, Songming Yu, Wenyu Sun, Lu Jie, Chunmeng Dou, Xueqing Li, Nan Sun, Huazhong Yang, Ming Liu, Yongpan Liu:
A 5.6-89.9TOPS/W Heterogeneous Computing-in-Memory SoC with High-Utilization Producer-Consumer Architecture and High-Frequency Read-Free CIM Macro. VLSI Technology and Circuits 2023: 1-2 - [i16]Hongtao Zhong, Zhonghao Chen, Wenqin Huangfu, Chen Wang, Yixin Xu, Tianyi Wang, Yao Yu, Yongpan Liu, Vijaykrishnan Narayanan, Huazhong Yang, Xueqing Li:
ASMCap: An Approximate String Matching Accelerator for Genome Sequence Analysis Based on Capacitive Content Addressable Memory. CoRR abs/2302.07478 (2023) - 2022
- [j66]Yi Li, Woyu Zhang, Xiaoxin Xu, Yifan He, Danian Dong, Nanjia Jiang, Fei Wang, Zeyu Guo, Shaocong Wang, Chunmeng Dou, Yongpan Liu, Zhongrui Wang, Dashan Shang:
Mixed-Precision Continual Learning Based on Computational Resistance Random Access Memory. Adv. Intell. Syst. 4(8) (2022) - [j65]Yi Li, Woyu Zhang, Xiaoxin Xu, Yifan He, Danian Dong, Nanjia Jiang, Fei Wang, Zeyu Guo, Shaocong Wang, Chunmeng Dou, Yongpan Liu, Zhongrui Wang, Dashan Shang:
Mixed-Precision Continual Learning Based on Computational Resistance Random Access Memory. Adv. Intell. Syst. 4(8) (2022) - [j64]Yiyu Shi, Yongpan Liu, Jianxu Chen, Steve B. Jiang:
Guest Editorial: ACM JETC Special Issue on Hardware-Aware Learning for Medical Applications. ACM J. Emerg. Technol. Comput. Syst. 18(2): 24:1-24:3 (2022) - [j63]Jinshan Yue, Yongpan Liu, Zhe Yuan, Xiaoyu Feng, Yifan He, Wenyu Sun, Zhixiao Zhang, Xin Si, Ruhui Liu, Zi Wang, Meng-Fan Chang, Chunmeng Dou, Xueqing Li, Ming Liu, Huazhong Yang:
STICKER-IM: A 65 nm Computing-in-Memory NN Processor Using Block-Wise Sparsity Optimization and Inter/Intra-Macro Data Reuse. IEEE J. Solid State Circuits 57(8): 2560-2573 (2022) - [j62]Yixiong Yang, Yongpan Liu, Zhe Yuan, Wenyu Sun, Ruoyang Liu, Jingyu Wang, Jinshan Yue, Xiaoyu Feng, Zhuqing Yuan, Xueqing Li, Huazhong Yang:
A 65-nm Energy-Efficient Interframe Data Reuse Neural Network Accelerator for Video Applications. IEEE J. Solid State Circuits 57(8): 2574-2585 (2022) - [j61]Jingyu Wang, Songming Yu, Zhuqing Yuan, Jinshan Yue, Zhe Yuan, Ruoyang Liu, Yanzhi Wang, Huazhong Yang, Xueqing Li, Yongpan Liu:
PACA: A Pattern Pruning Algorithm and Channel-Fused High PE Utilization Accelerator for CNNs. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(11): 5043-5056 (2022) - [j60]Yuxuan Huang, Yifan He, Jinshan Yue, Huazhong Yang, Yongpan Liu:
Accuracy Optimization With the Framework of Non-Volatile Computing-In-Memory Systems. IEEE Trans. Circuits Syst. I Regul. Pap. 69(2): 518-529 (2022) - [j59]Yuxuan Huang, Yifan He, Jingyu Wang, Jinshan Yue, Lu Zhang, Kaiwei Zou, Huazhong Yang, Yongpan Liu:
Bit-Aware Fault-Tolerant Hybrid Retraining and Remapping Schemes for RRAM-Based Computing-in-Memory Systems. IEEE Trans. Circuits Syst. II Express Briefs 69(7): 3144-3148 (2022) - [j58]Tianyun Zhang, Shaokai Ye, Xiaoyu Feng, Xiaolong Ma, Kaiqi Zhang, Zhengang Li, Jian Tang, Sijia Liu, Xue Lin, Yongpan Liu, Makan Fardad, Yanzhi Wang:
StructADMM: Achieving Ultrahigh Efficiency in Structured Pruning for DNNs. IEEE Trans. Neural Networks Learn. Syst. 33(5): 2259-2273 (2022) - [j57]Xiaoyang Ma, Hongtao Zhong, Nuo Xiu, Yiming Chen, Guodong Yin, Vijaykrishnan Narayanan, Yongpan Liu, Kai Ni, Huazhong Yang, Xueqing Li:
CapCAM: A Multilevel Capacitive Content Addressable Memory for High-Accuracy and High-Scalability Search and Compute Applications. IEEE Trans. Very Large Scale Integr. Syst. 30(11): 1770-1782 (2022) - [c124]Chen Tang, Wenyu Sun, Wenxun Wang, Yongpan Liu:
Dynamic CNN Accelerator Supporting Efficient Filter Generator with Kernel Enhancement and Online Channel Pruning. ASP-DAC 2022: 436-441 - [c123]Yixiong Yang, Ruoyang Liu, Wenyu Sun, Jinshan Yue, Huazhong Yang, Yongpan Liu:
Toward Low-Bit Neural Network Training Accelerator by Dynamic Group Accumulation. ASP-DAC 2022: 442-447 - [c122]Yuxuan Huang, Yifan He, Jinshan Yue, Wenyu Sun, Huazhong Yang, Yongpan Liu:
Sparsity-Aware Non-Volatile Computing-In-Memory Macro with Analog Switch Array and Low-Resolution Current-Mode ADC. ASP-DAC 2022: 684-689 - [c121]Yiming Chen, Guodong Yin, Zhanhong Tan, Mingyen Lee, Zekun Yang, Yongpan Liu, Huazhong Yang, Kaisheng Ma, Xueqing Li:
YOLoC: deploy large-scale neural network by ROM-based computing-in-memory using residual branch on a chip. DAC 2022: 1093-1098 - [c120]Yiming Chen, Guodong Yin, Mingyen Lee, Wenjun Tang, Zekun Yang, Yongpan Liu, Huazhong Yang, Xueqing Li:
Hidden-ROM: A Compute-in-ROM Architecture to Deploy Large-Scale Neural Networks on Chip with Flexible and Scalable Post-Fabrication Task Transfer Capability. ICCAD 2022: 45:1-45:9 - [c119]Chen Tang, Wenyu Sun, Wenxun Wang, Zhuqing Yuan, Yongpan Liu:
Efficient Neural Networks with Spatial Wise Sparsity Using Unified Importance Map. ISCAS 2022: 3013-3017 - [c118]Yifan He, Yuxuan Huang, Jinshan Yue, Wenyu Sun, Lu Zhang, Yongpan Liu:
C-RRAM: A Fully Input Parallel Charge-Domain RRAM-based Computing-in-Memory Design with High Tolerance for RRAM Variations. ISCAS 2022: 3279-3283 - [i15]Yiming Chen, Yushen Fu, Mingyen Lee, Sumitha George, Yongpan Liu, Vijaykrishnan Narayanan, Huazhong Yang, Xueqing Li:
FAST: A Fully-Concurrent Access Technique to All SRAM Rows for Enhanced Speed and Energy Efficiency in Data-Intensive Applications. CoRR abs/2205.11088 (2022) - [i14]Yiming Chen, Guodong Yin, Zhanhong Tan, Mingyen Lee, Zekun Yang, Yongpan Liu, Huazhong Yang, Kaisheng Ma, Xueqing Li:
YOLoC: DeploY Large-Scale Neural Network by ROM-based Computing-in-Memory using ResiduaL Branch on a Chip. CoRR abs/2206.00379 (2022) - [i13]Jianfeng Wang, Zhonghao Chen, Jiahao Zhang, Yixin Xu, Tongguang Yu, Enze Ye, Ziheng Zheng, Huazhong Yang, Sumitha George, Yongpan Liu, Vijaykrishnan Narayanan, Xueqing Li:
ALL-MASK: A Reconfigurable Logic Locking Method for Multicore Architecture with Sequential-Instruction-Oriented Key. CoRR abs/2206.08087 (2022) - [i12]Yiming Chen, Guohao Dai, Mufeng Zhou, Mingyen Lee, Nagadastagiri Challapalle, Guodong Yin, Zekun Yang, Yongpan Liu, Huazhong Yang, Vijaykrishnan Narayanan, Xueqing Li:
GRAPHIC: GatheR-And-Process in Highly parallel with In-SSD Compression Architecture in Very Large-Scale Graph. CoRR abs/2208.08600 (2022) - [i11]Xinrui Guo, Xiaoyang Ma, Franz Müller, Kai Ni, Thomas Kämpfe, Yongpan Liu, Vijaykrishnan Narayanan, Xueqing Li:
Ferroelectric FET-based strong physical unclonable function: a low-power, high-reliable and reconfigurable solution for Internet-of-Things security. CoRR abs/2208.14678 (2022) - [i10]Xiaoyu Feng, Heming Du, Yueqi Duan, Yongpan Liu, Hehe Fan:
SEFormer: Structure Embedding Transformer for 3D Object Detection. CoRR abs/2209.01745 (2022) - [i9]Ruoyang Liu, Chenhan Wei, Yixiong Yang, Wenxun Wang, Huazhong Yang, Yongpan Liu:
Block-Wise Dynamic-Precision Neural Network Training Acceleration via Online Quantization Sensitivity Analytics. CoRR abs/2210.17047 (2022) - [i8]Guodong Yin, Mufeng Zhou, Yiming Chen, Wenjun Tang, Zekun Yang, Mingyen Lee, Xirui Du, Jinshan Yue, Jiaxin Liu, Huazhong Yang, Yongpan Liu, Xueqing Li:
A 65nm 8b-Activation 8b-Weight SRAM-Based Charge-Domain Computing-in-Memory Macro Using A Fully-Parallel Analog Adder Network and A Single-ADC Interface. CoRR abs/2212.04320 (2022) - 2021
- [j56]Jinshan Yue, Yongpan Liu, Ruoyang Liu, Wenyu Sun, Zhe Yuan, Yung-Ning Tu, Yi-Ju Chen, Ao Ren, Yanzhi Wang, Meng-Fan Chang, Xueqing Li, Huazhong Yang:
STICKER-T: An Energy-Efficient Neural Network Processor Using Block-Circulant Algorithm and Unified Frequency-Domain Acceleration. IEEE J. Solid State Circuits 56(6): 1936-1948 (2021) - [j55]Linfang Wang, Wang Ye, Chunmeng Dou, Xin Si, Xiaoxin Xu, Jing Liu, Dashan Shang, Jianfeng Gao, Feng Zhang, Yongpan Liu, Meng-Fan Chang, Qi Liu:
Efficient and Robust Nonvolatile Computing-In-Memory Based on Voltage Division in 2T2R RRAM With Input-Dependent Sensing Control. IEEE Trans. Circuits Syst. II Express Briefs 68(5): 1640-1644 (2021) - [j54]Guodong Yin, Yi Cai, Juejian Wu, Zhengyang Duan, Zhenhua Zhu, Yongpan Liu, Yu Wang, Huazhong Yang, Xueqing Li:
Enabling Lower-Power Charge-Domain Nonvolatile In-Memory Computing With Ferroelectric FETs. IEEE Trans. Circuits Syst. II Express Briefs 68(7): 2262-2266 (2021) - [j53]Keni Qiu, Nicholas Jao, Kunyu Zhou, Yongpan Liu, Jack Sampson, Mahmut Taylan Kandemir, Vijaykrishnan Narayanan:
MaxTracker: Continuously Tracking the Maximum Computation Progress for Energy Harvesting ReRAM-based CNN Accelerators. ACM Trans. Embed. Comput. Syst. 20(5s): 78:1-78:23 (2021) - [j52]Hongtao Zhong, Shengjie Cao, Li Jiang, Xia An, Vijaykrishnan Narayanan, Yongpan Liu, Huazhong Yang, Xueqing Li:
DyTAN: Dynamic Ternary Content Addressable Memory Using Nanoelectromechanical Relays. IEEE Trans. Very Large Scale Integr. Syst. 29(11): 1981-1993 (2021) - [c117]Yuxuan Huang, Yifan He, Jinshan Yue, Huazhong Yang, Yongpan Liu:
A Non-Volatile Computing-In-Memory Framework With Margin Enhancement Based CSA and Offset Reduction Based ADC. ASP-DAC 2021: 126-131 - [c116]Yifan He, Jinshan Yue, Yongpan Liu, Huazhong Yang:
Block-Circulant Neural Network Accelerator Featuring Fine-Grained Frequency-Domain Quantization and Reconfigurable FFT Modules. ASP-DAC 2021: 813-818 - [c115]Chaoming Fang, Habib Derbyshire, Wenyu Sun, Jinshan Yue, Haobing Shi, Yongpan Liu:
A Sort-Less FPGA-Based Non-Maximum Suppression Accelerator using Multi-Thread Computing and Binary Max Engine for Object Detection. A-SSCC 2021: 1-3 - [c114]Xiangxing Yang, Keren Zhu, Xiyuan Tang, Meizhi Wang, Mingtao Zhan, Nanshu Lu, Jaydeep P. Kulkarni, David Z. Pan, Yongpan Liu, Nan Sun:
An In-Memory-Computing Charge-Domain Ternary CNN Classifier. CICC 2021: 1-2 - [c113]Ruoyang Liu, Lu Zhang, Jingyu Wang, Huazhong Yang, Yongpan Liu:
PETRI: Reducing Bandwidth Requirement in Smart Surveillance by Edge-Cloud Collaborative Adaptive Frame Clustering and Pipelined Bidirectional Tracking. DAC 2021: 421-426 - [c112]Xinrui Guo, Xiaoyang Ma, Franz Müller, Ricardo Olivo, Juejian Wu, Kai Ni, Thomas Kämpfe, Yongpan Liu, Huazhong Yang, Xueqing Li:
Exploiting FeFET Switching Stochasticity for Low-Power Reconfigurable Physical Unclonable Function. ESSCIRC 2021: 119-122 - [c111]Xinrui Guo, Xiaoyang Ma, Franz Müller, Ricardo Olivo, Juejian Wu, Kai Ni, Thomas Kämpfe, Yongpan Liu, Huazhong Yang, Xueqing Li:
Exploiting FeFET Switching Stochasticity for Low-Power Reconfigurable Physical Unclonable Function. ESSDERC 2021: 119-122 - [c110]He Zhang, Junzhan Liu, Kang Wang, Yunqian Fan, Shufeng Fu, Jinyu Bai, Biao Pan, Yongpan Liu, Weisheng Zhao:
A 40nm 33.6Tops/W 8T-SRAM Computing-in-Memory Macro with DAC-less Spike-Pulse-Truncation Input and ADC-less Charge-Reservoir-Integrate-Counter Output. ICTA 2021: 123-124 - [c109]Jialong Liu, Wenjun Tang, Yongpan Liu, Huazhong Yang, Xueqing Li:
Almost-Nonvolatile IGZO-TFT-Based Near-Sensor In-Memory Computing. ISCAS 2021: 1-5 - [c108]Jinshan Yue, Wenyu Sun, Huazhong Yang, Yongpan Liu:
Challenges and Opportunities of Energy-Efficient CIM SoC Design for Edge AI Devices. ISOCC 2021: 197-198 - [c107]Jun Deguchi, Yongpan Liu, Yan Li:
Session 15 Overview: Compute-in-Memory Processors for Deep Neural Networks Machine Learning Subcommittee. ISSCC 2021: 234-235 - [c106]Jinshan Yue, Xiaoyu Feng, Yifan He, Yuxuan Huang, Yipeng Wang, Zhe Yuan, Mingtao Zhan, Jiaxin Liu, Jian-Wei Su, Yen-Lin Chung, Ping-Chun Wu, Li-Yang Hung, Meng-Fan Chang, Nan Sun, Xueqing Li, Huazhong Yang, Yongpan Liu:
A 2.75-to-75.9TOPS/W Computing-in-Memory NN Processor Supporting Set-Associate Block-Wise Zero Skipping and Ping-Pong CIM with Simultaneous Computation and Weight Updating. ISSCC 2021: 238-240 - [c105]Sukhwan Lim, Yongpan Liu, Luca Benini, Tanay Karnik, Hsie-Chia Chang:
F1: Striking the Balance Between Energy Efficiency & Flexibility: General-Purpose vs Special-Purpose ML Processors. ISSCC 2021: 513-516 - [c104]Songming Yu, Lu Zhang, Jingyu Wang, Jinshan Yue, Zhuqing Yuan, Xueqing Li, Huazhong Yang, Yongpan Liu:
High Area/Energy Efficiency RRAM CNN Accelerator with Pattern-Pruning-Based Weight Mapping Scheme. NVMSA 2021: 1-6 - [i7]Guodong Yin, Yi Cai, Juejian Wu, Zhengyang Duan, Zhenhua Zhu, Yongpan Liu, Yu Wang, Huazhong Yang, Xueqing Li:
Enabling Lower-Power Charge-Domain Nonvolatile In-Memory Computing with Ferroelectric FETs. CoRR abs/2102.01442 (2021) - 2020
- [j51]Xinyi Zhang, Clay Patterson, Yongpan Liu, Chengmo Yang, Chun Jason Xue, Jingtong Hu:
Low Overhead Online Data Flow Tracking for Intermittently Powered Non-Volatile FPGAs. ACM J. Emerg. Technol. Comput. Syst. 16(3): 26:1-26:20 (2020) - [j50]Zhe Yuan, Yongpan Liu, Jinshan Yue, Yixiong Yang, Jingyu Wang, Xiaoyu Feng, Jian Zhao, Xueqing Li, Huazhong Yang:
STICKER: An Energy-Efficient Multi-Sparsity Compatible Accelerator for Convolutional Neural Networks in 65-nm CMOS. IEEE J. Solid State Circuits 55(2): 465-477 (2020) - [j49]Yuxuan Huang, Jian Zhao, Wenyu Sun, Huazhong Yang, Yongpan Liu:
Investigation and Modeling of Multi-Node Body Channel Wireless Power Transfer. Sensors 20(1): 156 (2020) - [j48]Jingyu Wang, Zhe Yuan, Ruoyang Liu, Xiaoyu Feng, Li Du, Huazhong Yang, Yongpan Liu:
GAAS: An Efficient Group Associated Architecture and Scheduler Module for Sparse CNN Accelerators. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(12): 5170-5182 (2020) - [j47]Fei Tan, Yiming Wang, Yiming Yang, Liran Li, Tian Wang, Feng Zhang, Xinghua Wang, Jianfeng Gao, Yongpan Liu:
A ReRAM-Based Computing-in-Memory Convolutional-Macro With Customized 2T2R Bit-Cell for AIoT Chip IP Applications. IEEE Trans. Circuits Syst. II Express Briefs 67-II(9): 1534-1538 (2020) - [j46]Hongtao Zhong, Mingyang Gu, Yu Wang, Yongpan Liu, Vijaykrishnan Narayanan, Huazhong Yang, Xueqing Li:
One-Shot Refresh: A Low-Power Low-Congestion Approach for Dynamic Memories. IEEE Trans. Circuits Syst. 67-II(12): 3402-3406 (2020) - [c103]Juejian Wu, Yixin Xu, Bowen Xue, Yu Wang, Yongpan Liu, Huazhong Yang, Xueqing Li:
Adaptive Circuit Approaches to Low-Power Multi-Level/Cell FeFET Memory. ASP-DAC 2020: 407-413 - [c102]Wenyu Sun, Chen Tang, Zhuqing Yuan, Zhe Yuan, Huazhong Yang, Yongpan Liu:
A 112-765 GOPS/W FPGA-based CNN Accelerator using Importance Map Guided Adaptive Activation Sparsification for Pix2pix Applications. A-SSCC 2020: 1-4 - [c101]Jingyu Wang, Songming Yu, Jinshan Yue, Zhe Yuan, Zhuqing Yuan, Huazhong Yang, Xueqing Li, Yongpan Liu:
High PE Utilization CNN Accelerator with Channel Fusion Supporting Pattern-Compressed Sparse Neural Networks. DAC 2020: 1-6 - [c100]Wenyu Sun, Chen Tang, Weigui Li, Zhuqing Yuan, Huazhong Yang, Yongpan Liu:
High-Quality Single-Model Deep Video Compression with Frame-Conv3D and Multi-frame Differential Modulation. ECCV (30) 2020: 239-254 - [c99]Keni Qiu, Mengying Zhao, Zhenge Jia, Jingtong Hu, Chun Jason Xue, Kaisheng Ma, Xueqing Li, Yongpan Liu, Vijaykrishnan Narayanan:
Design Insights of Non-volatile Processors and Accelerators in Energy Harvesting Systems. ACM Great Lakes Symposium on VLSI 2020: 369-374 - [c98]Xiaoyu Feng, Jinshan Yue, Zhe Yuan, Huazhong Yang, Yongpan Liu:
RL Based Network Accelerator Compiler for Joint Compression Hyper-Parameter Search. ISCAS 2020: 1-5 - [c97]Yuxuan Huang, Qinghang Zhao, Xiyuan Tang, Fang Su, Nan Sun, Huazhong Yang, Yongpan Liu:
An Energy-Efficient Flexible Capacitive Pressure Sensing System. ISCAS 2020: 1-5 - [c96]Yixiong Yang, Zhe Yuan, Fang Su, Fanyang Cheng, Zhuqing Yuan, Huazhong Yang, Yongpan Liu:
Multi-channel precision-sparsity-adapted inter-frame differential data codec for video neural network processor. ISLPED 2020: 103-108 - [c95]Mingyen Lee, Wenjun Tang, Bowen Xue, Juejian Wu, Mingyuan Ma, Yu Wang, Yongpan Liu, Deliang Fan, Vijaykrishnan Narayanan, Huazhong Yang, Xueqing Li:
FeFET-based low-power bitwise logic-in-memory with direct write-back and data-adaptive dynamic sensing interface. ISLPED 2020: 127-132 - [c94]Zhe Yuan, Yixiong Yang, Jinshan Yue, Ruoyang Liu, Xiaoyu Feng, Zhiting Lin, Xiulong Wu, Xueqing Li, Huazhong Yang, Yongpan Liu:
14.2 A 65nm 24.7µJ/Frame 12.3mW Activation-Similarity-Aware Convolutional Neural Network Video Processor Using Hybrid Precision, Inter-Frame Data Reuse and Mixed-Bit-Width Difference-Frame Data Codec. ISSCC 2020: 232-234 - [c93]Jinshan Yue, Zhe Yuan, Xiaoyu Feng, Yifan He, Zhixiao Zhang, Xin Si, Ruhui Liu, Meng-Fan Chang, Xueqing Li, Huazhong Yang, Yongpan Liu:
14.3 A 65nm Computing-in-Memory-Based CNN Processor with 2.9-to-35.8TOPS/W System Energy Efficiency Using Dynamic-Sparsity Performance-Scaling Architecture and Energy-Efficient Inter/Intra-Macro Data Reuse. ISSCC 2020: 234-236 - [i6]Xinyi Zhang, Clay Patterson, Yongpan Liu, Chengmo Yang, Chun Jason Xue, Jingtong Hu:
Low Overhead Online Data Flow Tracking for Intermittently Powered Non-volatile FPGAs. CoRR abs/2002.02094 (2020) - [i5]Xiaoyu Feng, Zhuqing Yuan, Guijin Wang, Yongpan Liu:
ADMP: An Adversarial Double Masks Based Pruning Framework For Unsupervised Cross-Domain Compression. CoRR abs/2006.04127 (2020) - [i4]Songming Yu, Yongpan Liu, Lu Zhang, Jingyu Wang, Jinshan Yue, Zhuqing Yuan, Xueqing Li, Huazhong Yang:
High Area/Energy Efficiency RRAM CNN Accelerator with Kernel-Reordering Weight Mapping Scheme Based on Pattern Pruning. CoRR abs/2010.06156 (2020) - [i3]Chen Tang, Wenyu Sun, Zhuqing Yuan, Guijin Wang, Yongpan Liu:
Adaptive Structured Sparse Network for Efficient CNNs with Feature Regularization. CoRR abs/2010.11083 (2020)
2010 – 2019
- 2019
- [j45]Xueqing Li, Juejian Wu, Kai Ni, Sumitha George, Kaisheng Ma, John Sampson, Sumeet Kumar Gupta, Yongpan Liu, Huazhong Yang, Suman Datta, Vijaykrishnan Narayanan:
Design of 2T/Cell and 3T/Cell Nonvolatile Memories with Emerging Ferroelectric FETs. IEEE Des. Test 36(3): 39-45 (2019) - [j44]Guijin Wang, Chenshuang Zhang, Yongpan Liu, Huazhong Yang, Dapeng Fu, Haiqing Wang, Ping Zhang:
A global and updatable ECG beat classification system based on recurrent neural networks and active learning. Inf. Sci. 501: 523-542 (2019) - [j43]Yongpan Liu, Fang Su, Yixiong Yang, Zhibo Wang, Yiqun Wang, Zewei Li, Xueqing Li, Ryuji Yoshimura, Takashi Naiki, Takashi Tsuwa, Takahiko Saito, Zhongjun Wang, Koji Taniuchi, Huazhong Yang:
A 130-nm Ferroelectric Nonvolatile System-on-Chip With Direct Peripheral Restore Architecture for Transient Computing System. IEEE J. Solid State Circuits 54(3): 885-895 (2019) - [j42]Mimi Xie, Chen Pan, Youtao Zhang, Jingtong Hu, Yongpan Liu, Chun Jason Xue:
A Novel STT-RAM-Based Hybrid Cache for Intermittently Powered Processors in IoT Devices. IEEE Micro 39(1): 24-32 (2019) - [j41]Wenyu Sun, Jian Zhao, Yuxuan Huang, Yinan Sun, Huazhong Yang, Yongpan Liu:
Dynamic Channel Modeling and OFDM System Analysis for Capacitive Coupling Body Channel Communication. IEEE Trans. Biomed. Circuits Syst. 13(4): 735-745 (2019) - [j40]Jian Zhao, Wenyu Sun, Jingna Mao, Yuxuan Huang, Bo Zhao, Yongpan Liu, Huazhong Yang:
An Auto Loss Co Jian Zhaompensation System for Capacitive-Coupled Body Channel Communication. IEEE Trans. Biomed. Circuits Syst. 13(4): 756-765 (2019) - [j39]Fuyang Li, Keni Qiu, Mengying Zhao, Jingtong Hu, Yongpan Liu, Yong Guan, Chun Jason Xue:
Checkpointing-Aware Loop Tiling for Energy Harvesting Powered Nonvolatile Processors. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 38(1): 15-28 (2019) - [j38]Guohao Dai, Tianhao Huang, Yuze Chi, Jishen Zhao, Guangyu Sun, Yongpan Liu, Yu Wang, Yuan Xie, Huazhong Yang:
GraphH: A Processing-in-Memory Architecture for Large-Scale Graph Processing. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 38(4): 640-653 (2019) - [j37]Qinghang Zhao, Wenyu Sun, Jiaqing Zhao, Jian Zhao, Hailong Yao, Tsung-Yi Ho, Xiaojun Guo, Huazhong Yang, Yongpan Liu:
Design Methodology for TFT-Based Pseudo-CMOS Logic Array With Multilayer Interconnection Architecture and Optimization Algorithms. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 38(11): 2043-2057 (2019) - [j36]Longqiang Lai, Xueqing Li, Yushen Fu, Yongpan Liu, Huazhong Yang:
Demystifying and Mitigating Code-Dependent Switching Distortions in Current-Steering DACs. IEEE Trans. Circuits Syst. I Regul. Pap. 66-I(1): 68-81 (2019) - [j35]Jinshan Yue, Yongpan Liu, Zhe Yuan, Zhibo Wang, Qingwei Guo, Jinyang Li, Chengmo Yang, Huazhong Yang:
A 3.77TOPS/W Convolutional Neural Network Processor With Priority-Driven Kernel Optimization. IEEE Trans. Circuits Syst. II Express Briefs 66-II(2): 277-281 (2019) - [j34]Yixiong Yang, Xin Shi, Fang Su, Zhibo Wang, Pei Yang, Huazhong Yang, Yongpan Liu:
A 2.2-GHz Configurable Direct Digital Frequency Synthesizer Based on LUT and Rotation. IEEE Trans. Circuits Syst. I Regul. Pap. 66-I(5): 1970-1980 (2019) - [j33]Fang Su, Yongpan Liu, Xiao Sheng, Hyung Gyu Lee, Naehyuck Chang, Huazhong Yang:
A Task Failure Rate Aware Dual-Channel Solar Power System for Nonvolatile Sensor Nodes. ACM Trans. Embed. Comput. Syst. 18(4): 33:1-33:21 (2019) - [c92]Xiaoyu Feng, Jinshan Yue, Qingwei Guo, Huazhong Yang, Yongpan Liu:
Accelerating CNN-RNN Based Machine Health Monitoring on FPGA. AICAS 2019: 184-188 - [c91]Jinshan Yue, Yongpan Liu, Fang Su, Shuangchen Li, Zhe Yuan, Zhibo Wang, Wenyu Sun, Xueqing Li, Huazhong Yang:
AERIS: area/energy-efficient 1T2R ReRAM based processing-in-memory neural network system-on-a-chip. ASP-DAC 2019: 146-151 - [c90]Jingyu Wang, Zhe Yuan, Ruoyang Liu, Huazhong Yang, Yongpan Liu:
An N-way group association architecture and sparse data group association load balancing algorithm for sparse CNN accelerators. ASP-DAC 2019: 329-334 - [c89]Zhe Yuan, Jingyu Wang, Yixiong Yang, Jinshan Yue, Zhibo Wang, Xiaoyu Feng, Yanzhi Wang, Xueqing Li, Huazhong Yang, Yongpan Liu:
A Sparse-Adaptive CNN Processor with Area/Performance balanced N-Way Set-Associate PE Arrays Assisted by a Collision-Aware Scheduler. A-SSCC 2019: 61-64 - [c88]Jian Zhao, Jingna Mao, Wenyu Sun, Yuxuan Huang, Yixiong Yang, Huazhong Yang, Yongpan Liu:
A 4-Mbps 41-pJ/bit On-off Keying Transceiver for Body-channel Communication with Enhanced Auto Loss Compensation Technique. A-SSCC 2019: 173-176 - [c87]Juejian Wu, Hongtao Zhong, Kai Ni, Yongpan Liu, Huazhong Yang, Xueqing Li:
A 3T/Cell Practical Embedded Nonvolatile Memory Supporting Symmetric Read and Write Access Based on Ferroelectric FETs. DAC 2019: 82 - [c86]Jinshan Yue, Ruoyang Liu, Wenyu Sun, Zhe Yuan, Zhibo Wang, Yung-Ning Tu, Yi-Ju Chen, Ao Ren, Yanzhi Wang, Meng-Fan Chang, Xueqing Li, Huazhong Yang, Yongpan Liu:
A 65nm 0.39-to-140.3TOPS/W 1-to-12b Unified Neural Network Processor Using Block-Circulant-Enabled Transpose-Domain Acceleration with 8.1 × Higher TOPS/mm2and 6T HBST-TRAM-Based 2D Data-Reuse Architecture. ISSCC 2019: 138-140 - [c85]Yongpan Liu:
Flexible Circuits and Systems for Smart Biomedical Applications. VLSI-DAT 2019: 1 - [e1]Hongen Liao, Simone Balocco, Guijin Wang, Feng Zhang, Yongpan Liu, Zijian Ding, Luc Duong, Renzo Phellan, Guillaume Zahnd, Katharina Breininger, Shadi Albarqouni, Stefano Moriconi, Su-Lin Lee, Stefanie Demirci:
Machine Learning and Medical Engineering for Cardiovascular Health and Intravascular Imaging and Computer Assisted Stenting - First International Workshop, MLMECH 2019, and 8th Joint International Workshop, CVII-STENT 2019, Held in Conjunction with MICCAI 2019, Shenzhen, China, October 13, 2019, Proceedings. Lecture Notes in Computer Science 11794, Springer 2019, ISBN 978-3-030-33326-3 [contents] - [i2]Shaokai Ye, Xiaoyu Feng, Tianyun Zhang, Xiaolong Ma, Sheng Lin, Zhengang Li, Kaidi Xu, Wujie Wen, Sijia Liu, Jian Tang, Makan Fardad, Xue Lin, Yongpan Liu, Yanzhi Wang:
Progressive DNN Compression: A Key to Achieve Ultra-High Weight Pruning and Quantization Rates using ADMM. CoRR abs/1903.09769 (2019) - [i1]Qiang Huo, Zhenhua Wu, Weixing Huang, Xingsheng Wang, Geyu Tang, Jiaxin Yao, Yongpan Liu, Feng Zhang, Ling Li, Ming Liu:
A Novel General Compact Model Approach for 7nm Technology Node Circuit Optimization from Device Perspective and Beyond. CoRR abs/1905.11207 (2019) - 2018
- [j32]Keni Qiu, Zhiyao Gong, Dongqin Zhou, Weiwen Chen, Yuanchao Xu, Xin Shi, Yongpan Liu:
Efficient energy management by exploiting retention state for self-powered nonvolatile processors. J. Syst. Archit. 87: 23-35 (2018) - [j31]Kaisheng Ma, Jinyang Li, Xueqing Li, Yongpan Liu, Yuan Xie, Mahmut T. Kandemir, Jack Sampson, Vijaykrishnan Narayanan:
IAA: Incidental Approximate Architectures for Extremely Energy-Constrained Energy Harvesting Scenarios using IoT Nonvolatile Processors. IEEE Micro 38(4): 11-19 (2018) - [j30]Xiaoming Chen, Qiaoyi Liu, Song Yao, Jia Wang, Qiang Xu, Yu Wang, Yongpan Liu, Huazhong Yang:
Hardware Trojan Detection in Third-Party Digital Intellectual Property Cores by Multilevel Feature Analysis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(7): 1370-1383 (2018) - [j29]Mimi Xie, Chen Pan, Mengying Zhao, Yongpan Liu, Chun Jason Xue, Jingtong Hu:
Avoiding Data Inconsistency in Energy Harvesting Powered Embedded Systems. ACM Trans. Design Autom. Electr. Syst. 23(3): 38:1-38:25 (2018) - [j28]Jinyang Li, Yongpan Liu, Hehe Li, Zhe Yuan, Chenchen Fu, Jinshan Yue, Xiaoyu Feng, Chun Jason Xue, Jingtong Hu, Huazhong Yang:
PATH: Performance-Aware Task Scheduling for Energy-Harvesting Nonvolatile Processors. IEEE Trans. Very Large Scale Integr. Syst. 26(9): 1671-1684 (2018) - [c84]Wenyu Sun, Yuxuan Huang, Qinghang Zhao, Fei Qiao, Tsung-Yi Ho, Xiaojun Guo, Huazhong Yang, Yongpan Liu:
Mechanical strain and temperature aware design methodology for thin-film transistor based pseudo-CMOS logic array. ASP-DAC 2018: 645-650 - [c83]Kaisheng Ma, Xueqing Li, Mahmut Taylan Kandemir, Jack Sampson, Vijaykrishnan Narayanan, Jinyang Li, Tongda Wu, Zhibo Wang, Yongpan Liu, Yuan Xie:
NEOFog: Nonvolatility-Exploiting Optimizations for Fog Computing. ASPLOS 2018: 782-796 - [c82]Yuxuan Huang, Jian Zhao, Wenyu Sun, Jingna Mao, Huazhong Yang, Yongpan Liu:
An Investigation on Inter-degeneration Effect in Body Channel Based Multi-node Wireless Power Transfer. BioCAS 2018: 1-4 - [c81]Dongqin Zhou, Keni Qiu, Xin Shi, Yongpan Liu:
Dual-threshold directed execution progress maximization for nonvolatile processors. CF 2018: 224-227 - [c80]Patrick Cronin, Chengmo Yang, Yongpan Liu:
A collaborative defense against wear out attacks in non-volatile processors. DAC 2018: 88:1-88:6 - [c79]Xin Shi, Yongpan Liu, Yinan Sun, Yixiong Yang, Keni Qiu:
Live Demonstration: A self-powered ultraviolet radiation monitoring platform based on nonvolatile processor. ISCAS 2018: 1- - [c78]Yixiong Yang, Zhibo Wang, Pei Yang, Meng-Fan Chang, Mon-Shu Ho, Huazhong Yang, Yongpan Liu:
A 2-GHz Direct Digital Frequency Synthesizer Based on LUT and Rotation. ISCAS 2018: 1-5 - [c77]Dongqin Zhou, Keni Qiu, Yuanchao Xu, Xin Shi, Yongpan Liu:
A Dual-Threshold Scheme Along with Security Reinforcement for Energy Efficient Nonvolatile Processors. ISVLSI 2018: 70-75 - [c76]Patrick Cronin, Chengmo Yang, Yongpan Liu:
Reliability and Security in Non-volatile Processors, Two Sides of the Same Coin. ISVLSI 2018: 112-117 - [c75]Xinyi Zhang, Clay Patterson, Yongpan Liu, Chengmo Yang, Chun Jason Xue, Jingtong Hu:
Low Overhead Online Checkpoint for Intermittently Powered Non-volatile FPGAs. ISVLSI 2018: 238-244 - [c74]Xin Shi, Tongda Wu, Keni Qiu, Huazhong Yang, Yongpan Liu:
Time Stamp Based Scheduling for Energy Harvesting Systems with Hybrid Nonvolatile Hardware Support. ISVLSI 2018: 339-344 - [c73]Yawen Wu, Yinan Sun, Zhenge Jia, Lefan Zhang, Yongpan Liu, Jingtong Hu:
Prototyping Energy Harvesting Powered Systems with Nonvolatile Processor (Invited Paper). RSP 2018: 49-55 - [c72]Tongda Wu, Lefan Zhang, Huazhong Yang, Yongpan Liu:
An extensible system simulator for intermittently-powered multiple-peripheral IoT devices. ENSsys@SenSys 2018: 1-6 - [c71]Zhe Yuan, Jinshan Yue, Huanrui Yang, Zhibo Wang, Jinyang Li, Yixiong Yang, Qingwei Guo, Xueqing Li, Meng-Fan Chang, Huazhong Yang, Yongpan Liu:
Sticker: A 0.41-62.1 TOPS/W 8Bit Neural Network Processor with Multi-Sparsity Compatible Convolution Arrays and Online Tuning Acceleration for Fully Connected Layers. VLSI Circuits 2018: 33-34 - 2017
- [j27]Caiwen Ding, Ning Liu, Yanzhi Wang, Ji Li, Soroush Heidari, Jingtong Hu, Yongpan Liu:
Multisource Indoor Energy Harvesting for Nonvolatile Processors. IEEE Des. Test 34(3): 42-49 (2017) - [j26]Albert Lee, Chieh-Pu Lo, Chien-Chen Lin, Wei-Hao Chen, Kuo-Hsiang Hsu, Zhibo Wang, Fang Su, Zhe Yuan, Qi Wei, Ya-Chin King, Chrong Jung Lin, Hochul Lee, Pedram Khalili Amiri, Kang-Lung Wang, Yu Wang, Huazhong Yang, Yongpan Liu, Meng-Fan Chang:
A ReRAM-Based Nonvolatile Flip-Flop With Self-Write-Termination Scheme for Frequent-OFF Fast-Wake-Up Nonvolatile Processors. IEEE J. Solid State Circuits 52(8): 2194-2207 (2017) - [j25]Zhibo Wang, Yongpan Liu, Albert Lee, Fang Su, Chieh-Pu Lo, Zhe Yuan, Jinyang Li, Chien-Chen Lin, Wei-Hao Chen, Hsiao-Yun Chiu, Wei-En Lin, Ya-Chin King, Chrong Jung Lin, Pedram Khalili Amiri, Kang-Lung Wang, Meng-Fan Chang, Huazhong Yang:
A 65-nm ReRAM-Enabled Nonvolatile Processor With Time-Space Domain Adaption and Self-Write-Termination Achieving > 4× Faster Clock Frequency and > 6× Higher Restore Speed. IEEE J. Solid State Circuits 52(10): 2769-2785 (2017) - [j24]Xiaoming Chen, Lin Wang, Yu Wang, Yongpan Liu, Huazhong Yang:
A General Framework for Hardware Trojan Detection in Digital Circuits by Statistical Learning Algorithms. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 36(10): 1633-1646 (2017) - [j23]Yongpan Liu, Jinshan Yue, Hehe Li, Qinghang Zhao, Mengying Zhao, Chun Jason Xue, Guangyu Sun, Meng-Fan Chang, Huazhong Yang:
Data Backup Optimization for Nonvolatile SRAM in Energy Harvesting Sensor Nodes. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 36(10): 1660-1673 (2017) - [j22]Mengying Zhao, Chenchen Fu, Zewei Li, Qing'an Li, Mimi Xie, Yongpan Liu, Jingtong Hu, Zhiping Jia, Chun Jason Xue:
Stack-Size Sensitive On-Chip Memory Backup for Self-Powered Nonvolatile Processors. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 36(11): 1804-1816 (2017) - [j21]Fang Su, Yongpan Liu, Yiqun Wang, Huazhong Yang:
A Ferroelectric Nonvolatile Processor with 46 $\mu $ s System-Level Wake-up Time and 14 $\mu $ s Sleep Time for Energy Harvesting Applications. IEEE Trans. Circuits Syst. I Regul. Pap. 64-I(3): 596-607 (2017) - [j20]Yinan Sun, Zhe Yuan, Yongpan Liu, Xueqing Li, Yu Wang, Qi Wei, Yiqun Wang, Vijaykrishnan Narayanan, Huazhong Yang:
Maximum Energy Efficiency Tracking Circuits for Converter-Less Energy Harvesting Sensor Nodes. IEEE Trans. Circuits Syst. II Express Briefs 64-II(6): 670-674 (2017) - [j19]Xueqing Li, Sumitha George, Kaisheng Ma, Wei-Yu Tsai, Ahmedullah Aziz, John Sampson, Sumeet Kumar Gupta, Meng-Fan Chang, Yongpan Liu, Suman Datta, Vijaykrishnan Narayanan:
Advancing Nonvolatile Computing With Nonvolatile NCFET Latches and Flip-Flops. IEEE Trans. Circuits Syst. I Regul. Pap. 64-I(11): 2907-2919 (2017) - [j18]Kaisheng Ma, Xueqing Li, Huichu Liu, Xiao Sheng, Yiqun Wang, Karthik Swaminathan, Yongpan Liu, Yuan Xie, John Sampson, Vijaykrishnan Narayanan:
Dynamic Power and Energy Management for Energy Harvesting Nonvolatile Processor Systems. ACM Trans. Embed. Comput. Syst. 16(4): 107:1-107:23 (2017) - [j17]Zhe Yuan, Yongpan Liu, Jinyang Li, Jingtong Hu, Chun Jason Xue, Huazhong Yang:
CP-FPGA: Energy-Efficient Nonvolatile FPGA With Offline/Online Checkpointing Optimization. IEEE Trans. Very Large Scale Integr. Syst. 25(7): 2153-2163 (2017) - [j16]Tongda Wu, Yongpan Liu, Daming Zhang, Jinyang Li, Xiaobo Sharon Hu, Chun Jason Xue, Huazhong Yang:
DVFS-Based Long-Term Task Scheduling for Dual-Channel Solar-Powered Sensor Nodes. IEEE Trans. Very Large Scale Integr. Syst. 25(11): 2981-2994 (2017) - [c70]Patrick Cronin, Chengmo Yang, Dongqin Zhou, Keni Qiu, Xin Shi, Yongpan Liu:
'The danger of sleeping', an exploration of security in non-volatile processors. AsianHOST 2017: 121-126 - [c69]Kaisheng Ma, Xueqing Li, Srivatsa Rangachar Srinivasa, Yongpan Liu, John Sampson, Yuan Xie, Vijaykrishnan Narayanan:
Spendthrift: Machine learning based resource and frequency scaling for ambient energy harvesting nonvolatile processors. ASP-DAC 2017: 678-683 - [c68]Keni Qiu, Zhiyao Gong, Dongqin Zhou, Weiwen Chen, Yongpan Liu:
Retention state-aware energy management for efficient nonvolatile processors: work-in-progress. CODES+ISSS 2017: 23:1-23:2 - [c67]Qinghang Zhao, Yongpan Liu, Wenyu Sun, Jiaqing Zhao, Hailong Yao, Xiaojun Guo, Huazhong Yang:
Design Methodology for Thin-Film Transistor Based Pseudo-CMOS Logic Array with Multi-Layer Interconnect Architecture. DAC 2017: 80:1-80:6 - [c66]Fang Su, Kaisheng Ma, Xueqing Li, Tongda Wu, Yongpan Liu, Vijaykrishnan Narayanan:
Nonvolatile processors: Why is it trending? DATE 2017: 966-971 - [c65]Jinyang Li, Qingwei Guo, Fang Su, Zhe Yuan, Jinshan Yue, Jingtong Hu, Huazhong Yang, Yongpan Liu:
CNN-based pattern recognition on nonvolatile IoT platform for smart ultraviolet monitoring: (Invited paper). ICCAD 2017: 888-893 - [c64]Wenyu Sun, Qinghang Zhao, Fei Qiao, Yongpan Liu, Huazhong Yang, Xiaojun Guo, Lei Zhou, Lei Wang:
An 8b 0.8kS/s configurable VCO-based ADC using oxide TFTs with Inkjet printing interconnection. ISCAS 2017: 1-4 - [c63]Zhe Yuan, Yongpan Liu, Jinshan Yue, Jinyang Li, Huazhong Yang:
CORAL: Coarse-grained reconfigurable architecture for Convolutional Neural Networks. ISLPED 2017: 1-6 - [c62]Wei-Hao Chen, Win-San Khwa, Jun-Yi Li, Wei-Yu Lin, Huan-Ting Lin, Yongpan Liu, Yu Wang, Huaqiang Wu, Huazhong Yang, Meng-Fan Chang:
Circuit design for beyond von Neumann applications using emerging memory: From nonvolatile logics to neuromorphic computing. ISQED 2017: 23-28 - [c61]Chen Pan, Mimi Xie, Yongpan Liu, Yanzhi Wang, Chun Jason Xue, Yuangang Wang, Yiran Chen, Jingtong Hu:
A lightweight progress maximization scheduler for non-volatile processor under unstable energy harvesting. LCTES 2017: 101-110 - [c60]Kaisheng Ma, Xueqing Li, Jinyang Li, Yongpan Liu, Yuan Xie, Jack Sampson, Mahmut Taylan Kandemir, Vijaykrishnan Narayanan:
Incidental computing on IoT nonvolatile processors. MICRO 2017: 204-218 - [c59]Zhiyao Gong, Keni Qiu, Dongqin Zhou, Weiwen Chen, Yuanchao Xu, Xin Shi, Yongpan Liu:
Retention state-enabled and progress-driven energy management for self-powered nonvolatile processors. RTCSA 2017: 1-8 - 2016
- [j15]Kaisheng Ma, Xueqing Li, Karthik Swaminathan, Yang Zheng, Shuangchen Li, Yongpan Liu, Yuan Xie, John (Jack) Morgan Sampson, Vijaykrishnan Narayanan:
Nonvolatile Processor Architectures: Efficient, Reliable Progress with Unstable Power. IEEE Micro 36(3): 72-83 (2016) - [j14]Yiqun Wang, Yongpan Liu, Cong Wang, Zewei Li, Xiao Sheng, Hyung Gyu Lee, Naehyuck Chang, Huazhong Yang:
Storage-Less and Converter-Less Photovoltaic Energy Harvesting With Maximum Power Point Tracking for Internet of Things. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 35(2): 173-186 (2016) - [j13]Daming Zhang, Yongpan Liu, Jinyang Li, Chun Jason Xue, Xueqing Li, Yu Wang, Huazhong Yang:
Solar Power Prediction Assisted Intra-task Scheduling for Nonvolatile Sensor Nodes. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 35(5): 724-737 (2016) - [j12]Xiaoming Chen, Lin Wang, Boxun Li, Yu Wang, Xin Li, Yongpan Liu, Huazhong Yang:
Modeling Random Telegraph Noise as a Randomness Source and its Application in True Random Number Generation. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 35(9): 1435-1448 (2016) - [j11]Xiaoming Chen, Boxun Li, Yu Wang, Yongpan Liu, Huazhong Yang:
A Unified Methodology for Designing Hardware Random Number Generators Based on Any Probability Distribution. IEEE Trans. Circuits Syst. II Express Briefs 63-II(8): 783-787 (2016) - [j10]Chen Pan, Shouzhen Gu, Mimi Xie, Yongpan Liu, Chun Jason Xue, Jingtong Hu:
Wear-Leveling Aware Page Management for Non-Volatile Main Memory on Embedded Systems. IEEE Trans. Multi Scale Comput. Syst. 2(2): 129-142 (2016) - [j9]Daming Zhang, Shuangchen Li, Yongpan Liu, Xiaobo Sharon Hu, Xinyu He, Yining Zhang, Pei Zhang, Huazhong Yang:
A C2RTL Framework Supporting Partition, Parallelization, and FIFO Sizing for Streaming Applications. ACM Trans. Design Autom. Electr. Syst. 21(2): 19:1-19:32 (2016) - [c58]Shuo Wang, Yun Liang, Chao Zhang, Xiaolong Xie, Guangyu Sun, Yongpan Liu, Yu Wang, Xiuhong Li:
Performance-centric register file design for GPUs using racetrack memory. ASP-DAC 2016: 25-30 - [c57]Yizi Gu, Yongpan Liu, Yiqun Wang, Hehe Li, Huazhong Yang:
NVPsim: A simulator for architecture explorations of nonvolatile processors. ASP-DAC 2016: 147-152 - [c56]Zhe Yuan, Yongpan Liu, Hehe Li, Huazhong Yang:
CP-FPGA: Computation data-aware software/hardware co-design for nonvolatile FPGAs based on checkpointing techniques. ASP-DAC 2016: 569-574 - [c55]Jinyang Li, Yongpan Liu, Hehe Li, Rui Hua, Chun Jason Xue, Hyung Gyu Lee, Huazhong Yang:
Accurate personal ultraviolet dose estimation with multiple wearable sensors. BSN 2016: 347-352 - [c54]Mimi Xie, Mengying Zhao, Chen Pan, Hehe Li, Yongpan Liu, Youtao Zhang, Chun Jason Xue, Jingtong Hu:
Checkpoint aware hybrid cache architecture for NV processor in energy harvesting powered systems. CODES+ISSS 2016: 22:1-22:10 - [c53]Zewei Li, Yongpan Liu, Daming Zhang, Chun Jason Xue, Zhangyuan Wang, Xin Shi, Wenyu Sun, Jiwu Shu, Huazhong Yang:
HW/SW co-design of nonvolatile IO system in energy harvesting sensor nodes for optimal data acquisition. DAC 2016: 154:1-154:6 - [c52]Hehe Li, Yongpan Liu, Chenchen Fu, Chun Jason Xue, Donglai Xiang, Jinshan Yue, Jinyang Li, Daming Zhang, Jingtong Hu, Huazhong Yang:
Performance-aware task scheduling for energy harvesting nonvolatile processors considering power switching overhead. DAC 2016: 156:1-156:6 - [c51]Caiwen Ding, Hongjia Li, Jingtong Hu, Yongpan Liu, Yanzhi Wang:
Dynamic converter reconfiguration for near-threshold non-volatile processors using in-door energy harvesting. ICCD 2016: 289-295 - [c50]Ping Chi, Shuangchen Li, Cong Xu, Tao Zhang, Jishen Zhao, Yongpan Liu, Yu Wang, Yuan Xie:
PRIME: A Novel Processing-in-Memory Architecture for Neural Network Computation in ReRAM-Based Main Memory. ISCA 2016: 27-39 - [c49]Caiwen Ding, Soroush Heidari, Yanzhi Wang, Yongpan Liu, Jingtong Hu:
Multi-source in-door energy harvesting for non-volatile processors. ISCAS 2016: 173-176 - [c48]Tongda Wu, Yongpan Liu, Hehe Li, Chun Jason Xue, Hyung Gyu Lee, Huazhong Yang:
SATS: An Ultra-Low Power Time Synchronization for Solar Energy Harvesting WSNs. ISLPED 2016: 106-111 - [c47]Yongpan Liu, Zhibo Wang, Albert Lee, Fang Su, Chieh-Pu Lo, Zhe Yuan, Chien-Chen Lin, Qi Wei, Yu Wang, Ya-Chin King, Chrong Jung Lin, Pedram Khalili, Kang-Lung Wang, Meng-Fan Chang, Huazhong Yang:
4.7 A 65nm ReRAM-enabled nonvolatile processor with 6× reduction in restore time and 4× higher clock frequency using adaptive data retention and self-write-termination nonvolatile logic. ISSCC 2016: 84-86 - [c46]Zhibo Wang, Rui Hua, Yongpan Liu, Huazhong Yang:
A compare-and-select error tolerant scheme for nonvolatile processors. NANOARCH 2016: 21-22 - [c45]Fang Su, Zhibo Wang, Jinyang Li, Meng-Fan Chang, Yongpan Liu:
Design of nonvolatile processors and applications. VLSI-SoC 2016: 1-6 - 2015
- [j8]Yinan Sun, Yongpan Liu, Zhibo Wang, Huazhong Yang:
Multistage Function Speculation Adders. IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 98-A(4): 954-965 (2015) - [j7]Kaisheng Ma, Xueqing Li, Shuangchen Li, Yongpan Liu, John (Jack) Morgan Sampson, Yuan Xie, Vijaykrishnan Narayanan:
Nonvolatile Processor Architecture Exploration for Energy-Harvesting Applications. IEEE Micro 35(5): 32-40 (2015) - [c44]Shuangchen Li, Ang Li, Yongpan Liu, Yuan Xie, Huazhong Yang:
Nonvolatile memory allocation and hierarchy optimization for high-level synthesis. ASP-DAC 2015: 166-171 - [c43]Yongpan Liu, Hehe Li, Xueqing Li, Chun Jason Xue, Yuan Xie, Huazhong Yang:
Self-powered wearable sensor node: Challenges and opportunities. CASES 2015: 189 - [c42]Gushu Li, Xiaoming Chen, Guangyu Sun, Henry Hoffmann, Yongpan Liu, Yu Wang, Huazhong Yang:
A STT-RAM-based low-power hybrid register file for GPGPUs. DAC 2015: 103:1-103:6 - [c41]Daming Zhang, Yongpan Liu, Xiao Sheng, Jinyang Li, Tongda Wu, Chun Jason Xue, Huazhong Yang:
Deadline-aware task scheduling for solar-powered nonvolatile sensor nodes with global energy migration. DAC 2015: 126:1-126:6 - [c40]Yongpan Liu, Zewei Li, Hehe Li, Yiqun Wang, Xueqing Li, Kaisheng Ma, Shuangchen Li, Meng-Fan Chang, John Sampson, Yuan Xie, Jiwu Shu, Huazhong Yang:
Ambient energy harvesting nonvolatile processors: from circuit to system. DAC 2015: 150:1-150:6 - [c39]Qing'an Li, Mengying Zhao, Jingtong Hu, Yongpan Liu, Yanxiang He, Chun Jason Xue:
Compiler directed automatic stack trimming for efficient non-volatile processors. DAC 2015: 183:1-183:6 - [c38]Mimi Xie, Mengying Zhao, Chen Pan, Jingtong Hu, Yongpan Liu, Chun Jason Xue:
Fixing the broken time machine: consistency-aware checkpointing for energy harvesting powered non-volatile processor. DAC 2015: 184:1-184:6 - [c37]Hehe Li, Yongpan Liu, Qinghang Zhao, Yizi Gu, Xiao Sheng, Guangyu Sun, Chao Zhang, Meng-Fan Chang, Rong Luo, Huazhong Yang:
An energy efficient backup scheme with low inrush current for nonvolatile SRAM in energy harvesting sensor nodes. DATE 2015: 7-12 - [c36]Mengying Zhao, Qing'an Li, Mimi Xie, Yongpan Liu, Jingtong Hu, Chun Jason Xue:
Software assisted non-volatile register reduction for energy harvesting based cyber-physical system. DATE 2015: 567-572 - [c35]Guangyu Sun, Chao Zhang, Hehe Li, Yue Zhang, Weiqi Zhang, Yizi Gu, Yinan Sun, Jacques-Olivier Klein, Dafine Ravelosona, Yongpan Liu, Weisheng Zhao, Huazhong Yang:
From device to system: cross-layer design exploration of racetrack memory. DATE 2015: 1018-1023 - [c34]Soroush Heidari, Caiwen Ding, Yongpan Liu, Yanzhi Wang, Jingtong Hu:
Multi-source energy harvesting management and optimization for non-volatile processors. IGSC 2015: 1-2 - [c33]Kaisheng Ma, Yang Zheng, Shuangchen Li, Karthik Swaminathan, Xueqing Li, Yongpan Liu, Jack Sampson, Yuan Xie, Vijaykrishnan Narayanan:
Architecture exploration for ambient energy harvesting nonvolatile processors. HPCA 2015: 526-537 - [c32]Kaisheng Ma, Xueqing Li, Yongpan Liu, John Sampson, Yuan Xie, Vijaykrishnan Narayanan:
Dynamic Machine Learning Based Matching of Nonvolatile Processor Microarchitecture to Harvested Energy Profile. ICCAD 2015: 670-675 - [c31]Chao Zhang, Guangyu Sun, Xian Zhang, Weiqi Zhang, Weisheng Zhao, Tao Wang, Yun Liang, Yongpan Liu, Yu Wang, Jiwu Shu:
Hi-fi playback: tolerating position errors in shift operations of racetrack memory. ISCA 2015: 694-706 - [c30]Zhibo Wang, Yongpan Liu, Yinan Sun, Yang Li, Daming Zhang, Huazhong Yang:
An energy-efficient heterogeneous dual-core processor for Internet of Things. ISCAS 2015: 2301-2304 - [c29]Shuangchen Li, Ang Li, Yuan Zhe, Yongpan Liu, Peng Li, Guangyu Sun, Yu Wang, Huazhong Yang, Yuan Xie:
Leveraging emerging nonvolatile memory in high-level synthesis with loop transformations. ISLPED 2015: 61-66 - [c28]Yongpan Liu, Fang Su, Zhibo Wang, Huazhong Yang:
Design exploration of inrush current aware controller for nonvolatile processor. NVMSA 2015: 1-6 - [c27]Nan Guan, Mengying Zhao, Chun Jason Xue, Yongpan Liu, Wang Yi:
Modular Performance Analysis of Energy-Harvesting Real-Time Networked Systems. RTSS 2015: 65-74 - 2014
- [j6]Yiqun Wang, Yongpan Liu, Shuangchen Li, Xiao Sheng, Daming Zhang, Mei-Fang Chiang, Baiko Sai, Xiaobo Sharon Hu, Huazhong Yang:
PaCC: A Parallel Compare and Compress Codec for Area Reduction in Nonvolatile Processors. IEEE Trans. Very Large Scale Integr. Syst. 22(7): 1491-1505 (2014) - [c26]Cong Wang, Naehyuck Chang, Younghyun Kim, Sangyoung Park, Yongpan Liu, Hyung Gyu Lee, Rong Luo, Huazhong Yang:
Storage-less and converter-less maximum power point tracking of photovoltaic cells for a nonvolatile microprocessor. ASP-DAC 2014: 379-384 - [c25]Daming Zhang, Shuangchen Li, Ang Li, Yongpan Liu, Xiaobo Sharon Hu, Huazhong Yang:
Intra-task scheduling for storage-less and converter-less solar-powered nonvolatile sensor nodes. ICCD 2014: 348-354 - [c24]Yiqun Wang, Hongyang Jia, Yongpan Liu, Qing'an Li, Chun Jason Xue, Huazhong Yang:
Register allocation for hybrid register architecture in nonvolatile processors. ISCAS 2014: 1050-1053 - [c23]Xiao Sheng, Cong Wang, Yongpan Liu, Hyung Gyu Lee, Naehyuck Chang, Huazhong Yang:
A high-efficiency dual-channel photovoltaic power system for nonvolatile sensor nodes. NVMSA 2014: 1-2 - 2013
- [c22]Shuangchen Li, Yongpan Liu, Xiaobo Sharon Hu, Xinyu He, Yining Zhang, Pei Zhang, Huazhong Yang:
Optimal partition with block-level parallelization in C-to-RTL synthesis for streaming applications. ASP-DAC 2013: 225-230 - [c21]Xiao Sheng, Yiqun Wang, Yongpan Liu, Huazhong Yang:
SPaC: a segment-based parallel compression for backup acceleration in nonvolatile processors. DATE 2013: 865-868 - [c20]Xinyu He, Shuangchen Li, Yongpan Liu, Xiaobo Sharon Hu, Huazhong Yang:
Utilizing voltage-frequency islands in C-to-RTL synthesis for streaming applications. DATE 2013: 992-995 - 2012
- [j5]Yongpan Liu, Yiqun Wang, Hengyu Long, Huazhong Yang:
Lifetime-Aware Battery Allocation for Wireless Sensor Network under Cost Constraints. IEICE Trans. Commun. 95-B(5): 1651-1660 (2012) - [c19]Shuangchen Li, Yongpan Liu, Daming Zhang, Xinyu He, Pei Zhang, Huazhong Yang:
A hierarchical C2RTL framework for FIFO-connected stream applications. ASP-DAC 2012: 133-138 - [c18]Yiqun Wang, Yongpan Liu, Yumeng Liu, Daming Zhang, Shuangchen Li, Baiko Sai, Mei-Fang Chiang, Huazhong Yang:
A compression-based area-efficient recovery architecture for nonvolatile processors. DATE 2012: 1519-1524 - [c17]Yiqun Wang, Yongpan Liu, Shuangchen Li, Daming Zhang, Bo Zhao, Mei-Fang Chiang, Yanxin Yan, Baiko Sai, Huazhong Yang:
A 3us wake-up time nonvolatile processor based on ferroelectric flip-flops. ESSCIRC 2012: 149-152 - [c16]Yongpan Liu, Yiqun Wang, Hongyang Jia, Shan Su, Jinghuan Wen, Wenzhu Zhang, Lin Zhang, Huazhong Yang:
An energy harvesting nonvolatile sensor node and its application to distributed moving object detection. IPSN 2012: 149-150 - [c15]Zili Shao, Yongpan Liu, Yiran Chen, Tao Li:
Utilizing PCM for Energy Optimization in Embedded Systems. ISVLSI 2012: 398-403 - [c14]Cong Wang, Yongpan Liu, Rong Luo, Huazhong Yang:
A low-complexity symbol-level differential detection scheme for IEEE 802.15.4 O-QPSK signals. WCSP 2012: 1-6 - 2011
- [j4]Yongpan Liu, Shuangchen Li, Jue Wang, Beihua Ying, Huazhong Yang:
An Energy Efficient Sensor Network Processor with Latency-Aware Adaptive Compression. IEICE Trans. Electron. 94-C(7): 1220-1228 (2011) - [c13]Yinan Sun, Yongpan Liu, Xiaohan Wang, Hongliang Xu, Huazhong Yang:
Design methodology of multistage time-domain logic speculation circuits. ISCAS 2011: 1944-1947 - 2010
- [j3]Li Li, Yongpan Liu, Huazhong Yang, Hui Wang:
Lightweight Precision-Adaptive Time Synchronization in Wireless Sensor Networks. IEICE Trans. Commun. 93-B(9): 2299-2308 (2010) - [j2]Yongpan Liu, Huazhong Yang:
Temperature-Aware Leakage Estimation Using Piecewise Linear Power Models. IEICE Trans. Electron. 93-C(12): 1679-1691 (2010) - [j1]Beihua Ying, Yongpan Liu, Huazhong Yang, Hui Wang:
Evaluation of Tunable Data Compression in Energy-Aware Wireless Sensor Networks. Sensors 10(4): 3195-3217 (2010) - [c12]Yongpan Liu, Yinan Sun, Yihao Zhu, Huazhong Yang:
Design methodology of variable latency adders with multistage function speculation. ISQED 2010: 824-830
2000 – 2009
- 2009
- [c11]Hengyu Long, Yongpan Liu, Xiaoguang Fan, Robert P. Dick, Huazhong Yang:
Energy-efficient spatially-adaptive clustering and routing in wireless sensor networks. DATE 2009: 1267-1272 - [c10]Jue Wang, Beihua Ying, Yongpan Liu, Huazhong Yang, Hui Wang:
Energy efficient architecture of sensor network node based on compression accelerator. ACM Great Lakes Symposium on VLSI 2009: 117-120 - [c9]Hengyu Long, Yongpan Liu, Yiqun Wang, Robert P. Dick, Huazhong Yang:
Battery allocation for wireless sensor network lifetime maximization under cost constraints. ICCAD 2009: 705-712 - 2007
- [c8]Yongpan Liu, Robert P. Dick, Li Shang, Huazhong Yang:
Accurate temperature-dependent integrated circuit leakage power estimation is easy. DATE 2007: 1526-1531 - [c7]Zhixin Tian, Yongpan Liu, Huazhong Yang, Hui Wang:
A Hybrid Genetic Algorithm with Critical Primary Inputs Sharing and Minor Primary Inputs Bits Climbing for Circuit Maximum Power Estimation. ICNC (4) 2007: 183-187 - [c6]Yongpan Liu, Huazhong Yang, Robert P. Dick, Hui Wang, Li Shang:
Thermal vs Energy Optimization for DVFS-Enabled Processors in Embedded Systems. ISQED 2007: 204-209 - 2006
- [c5]Changbo Long, Jinjun Xiong, Yongpan Liu:
Techniques of Power-gating to Kill Sub-Threshold Leakage. APCCAS 2006: 952-955 - [c4]Yongpan Liu, Yu Wang, Feng Zhang, Rong Luo, Hui Wang:
A New Thermal-Conscious System-Level Methodology for Energy-Efficient Processor Voltage Selection. APCCAS 2006: 968-971 - [c3]Yu Wang, Yongpan Liu, Rong Luo, Huazhong Yang:
Genetic Algorithm Based Fine-Grain Sleep Transistor Insertion Technique for Leakage Optimization. ICNC (1) 2006: 716-725 - [c2]Yu Wang, Yongpan Liu, Rong Luo, Huazhong Yang, Hui Wang:
Two-phase fine-grain sleep transistor insertion technique in leakage critical circuits. ISLPED 2006: 238-243 - 2005
- [c1]Yongpan Liu, Huazhong Yang, Rong Luo, Hui Wang:
A Hierarchical Approach for Incremental Floorplan Based on Genetic Algorithms. ICNC (3) 2005: 219-224
Coauthor Index
aka: Vijaykrishnan Narayanan
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.
Unpaywalled article links
Add open access links from to the list of external document links (if available).
Privacy notice: By enabling the option above, your browser will contact the API of unpaywall.org to load hyperlinks to open access articles. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Unpaywall privacy policy.
Archived links via Wayback Machine
For web page which are no longer available, try to retrieve content from the of the Internet Archive (if available).
Privacy notice: By enabling the option above, your browser will contact the API of archive.org to check for archived content of web pages that are no longer available. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Internet Archive privacy policy.
Reference lists
Add a list of references from , , and to record detail pages.
load references from crossref.org and opencitations.net
Privacy notice: By enabling the option above, your browser will contact the APIs of crossref.org, opencitations.net, and semanticscholar.org to load article reference information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Crossref privacy policy and the OpenCitations privacy policy, as well as the AI2 Privacy Policy covering Semantic Scholar.
Citation data
Add a list of citing articles from and to record detail pages.
load citations from opencitations.net
Privacy notice: By enabling the option above, your browser will contact the API of opencitations.net and semanticscholar.org to load citation information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the OpenCitations privacy policy as well as the AI2 Privacy Policy covering Semantic Scholar.
OpenAlex data
Load additional information about publications from .
Privacy notice: By enabling the option above, your browser will contact the API of openalex.org to load additional information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the information given by OpenAlex.
last updated on 2024-10-23 20:33 CEST by the dblp team
all metadata released as open data under CC0 1.0 license
see also: Terms of Use | Privacy Policy | Imprint