2 nm process: Difference between revisions

Content deleted Content added
De4ence (talk | contribs)
m added links & grammar edits
 
(6 intermediate revisions by 5 users not shown)
Line 3:
{{use dmy dates|date=March 2022}}
 
In [[semiconductor manufacturing]], the '''2 nm process''' is the next [[MOSFET]] (metal–oxide–semiconductor field-effect transistor) [[die shrink]] after the [[3 nm process|3 nm process]] node.
 
The term "2 [[Nanometre|nanometer]]", or alternatively "20 [[angstrom]]" (a term used by Intel), has no relation to any actual physical feature (such as gate length, metal pitch or gate pitch) of the transistors. According to the projections contained in the 2021 update of the [[International Roadmap for Devices and Systems]] published by the [[Institute of Electrical and Electronics Engineers]] (IEEE), a "2.1&nbsp;nm node range label" is expected to have a contacted gate pitch of 45 nanometers and a tightest metal pitch of 20 nanometers.<ref>{{Citation |url=https://irds.ieee.org/editions/2021/more-moore |title=INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: More Moore |year=2021 |publisher=IEEE |page=7 |access-date=7 August 2022 |archive-date=7 August 2022 |archive-url=https://web.archive.org/web/20220807181530/https://irds.ieee.org/editions/2021/more-moore }}</ref>
Line 61:
}}</ref>
 
In September 2024, Intel announced they would no longer be moving forward with their 20A process node, instead focusing on the development of 18A. Intel projected that avoiding ramping production of 20A could save over half a billion dollars. Intel noted that they'd successfully implemented [[RibbonFET]] gate-all-around architecture and [[PowerVia]] [[backside power delivery]] in their 20A process, accelerating 18A development. Intel's upcoming[[Arrow Lake (microprocessor)|Arrow Lake]] family of processors, which were meant to use Intel 20A, will instead have dies sourced from "external partners" and packaged by Intel.<ref name=":0" /><ref>{{Cite web |last=Sell |first=ben |date=2024-09-04 |title=Continued Momentum for Intel 18A |url=https://www.intel.com/content/www/us/en/newsroom/opinion/continued-momentum-intel-18a.html#gs.efsu7s |access-date=2024-09-11 |website=Intel |language=en}}</ref>
 
== 2 nm process nodes ==
Line 93:
| {{Unknown}} || {{Unknown}} || {{Unknown}} || {{Unknown}}
| {{Unknown}} || {{Unknown}} || {{Unknown}}
| {{Unknown}} || 0.021<ref name="ISSCC2025_INTEL">[https://submissions.mirasmart.com/ISSCC2025/PDF/ISSCC2025AdvanceProgram.pdf A 0.021μm² High-Density SRAM in Intel-18A-RibbonFET Technology with PowerVia-Backside Power Delivery (19 Feb 2025)]</ref>
| {{Unknown}} || {{Unknown}}
|-
! Transistor gate pitch (nm)
Line 123:
Apart from the expected shrinking of transistor structures and interconnects, innovations forecasted by [[IMEC|imec]] were as follows:{{and then what|date=February 2024}}
* transistor architecture (forksheet FET, CFET, CFET with atomic (2D material) channel);
* deployment of high-[[Numerical aperture|NA]] (0.55) [[Extreme ultraviolet lithography|EUV]] tools with the first $400 million tool to be completed at [[ASML Holding|ASML]] in 2023, and the first production tool to bewas shipped to and installed at Intel in 20252024;<ref>[https://www.intel.com/content/www/us/en/newsroom/resources/intel-high-na-euv.html High NA EUV at Intel] received in 27 September 2024</ref>
* further reduction of standard cell height (eventually to "less than 4" tracks);
* back-side power distribution, buried power rails;
Line 133:
In September 2022, [[Samsung Electronics|Samsung]] presented their future business goals, which at that time included an aim to mass-produce 1.4&nbsp;nm by 2027.<ref>{{cite web|url=https://news.samsung.com/global/samsung-electronics-unveils-plans-for-1-4nm-process-technology-and-investment-for-production-capacity-at-samsung-foundry-forum-2022|title=Samsung Electronics Unveils Plans for 1.4nm Process Technology and Investment for Production Capacity at Samsung Foundry Forum 2022|website=Samsung Global Newsroom|date=2022-10-04}}</ref>
 
As of 2023, Intel, TSMC and Samsung have all demonstrated CFET transistors. These transistors are made up of two stacked horizontal nanosheet transistors, one transistor is of the p-type (a pFET transistor) and the other transistor is of the n-type (an nFET transistor).<ref>{{cite web | url=https://spectrum.ieee.org/cfet-intel-samsung-tsmc | title=Intel, Samsung, and TSMC Demo 3D-Stacked Transistors - IEEE Spectrum }}</ref>
 
==Notes==