タグ

関連タグで絞り込む (351)

タグの絞り込みを解除

techに関するmoritataのブックマーク (216)

  • 3-Dセキュアにおける認証取引の仕組み解説 - JCB Tech Blog

    稿はJCB Advent Calendar 2024の12月14日の記事です。 3-Dセキュアにおける認証取引の仕組み解説 JCB デジタルソリューション開発部 アプリチームの村井です。 アプリチームではJCBが提供する様々なサービスの開発・運用をしています。 今回は非対面のクレジットカード決済で導入が進んでいる3-Dセキュア(人認証サービス)について、 各システムの動きにフォーカスして認証取引の主な仕組みを紹介します。 3-Dセキュアの認証取引の仕組みは、提唱元であるEMVCoのサイトから公式ドキュメントをダウンロードできます。 記事では公式ドキュメントの内容を噛み砕いて解説します。 そもそも3-Dセキュアって何?という方は過去の記事もご覧ください。 認証取引 認証取引の概要を図示します。 JCBではDSシステムを運用しています。 また、アクワイアラドメインで稼働するシステムが3D

    3-Dセキュアにおける認証取引の仕組み解説 - JCB Tech Blog
  • 量子計算のQmod言語の仕様を眺めて少し触ってみた感想 - Insight Edge Tech Blog

    こんにちは! Insight Edge分析チームの梶原(悠)です。 最近ひょんな経緯で量子計算用のQmodという言語のフィジビリ兼ゆる勉強会に顔を出しています。 Qmod言語 1 はclassiq社という量子ベンチャーが提供している無償ツールで、簡便に量子アルゴリズムを実装できる高水準言語をうたっています。 私は量子計算について何も知らない素人ですが、基的なpythonと線形代数の知識があれば使えるとのことで、量子畑の人たちにあれこれ教えていただきながら、すこし触ってみました。 量子計算に興味や前提知識はないが、技術動向はある程度把握しておきたいと考える技術者の読み手を想定して、言語仕様の一部やツールに触れてみた感想などを書きます。 目次 はじめに Qmod言語の仕様調査 回路生成の試行 感想 はじめに 量子計算とは 古典的なコンピュータにおけるCPUのレジスタは一刻にひとつの状態しか取

    量子計算のQmod言語の仕様を眺めて少し触ってみた感想 - Insight Edge Tech Blog
  • SRE Lounge #17 イベントレポートと SRE NEXT 2024 アンドパッドブースのご案内 - ANDPAD Tech Blog

    こんにちは。SREチームの吉澤です。 7/2(火)に開催されたSRE Lounge #17の会場として、秋葉原のアンドパッド社の9Fにあるイベントスペース「ANDPADコミュニティ」と懇親会用の飲物を提供させていただきました! 設営直後の会場の様子 面白い発表が多いイベントでしたので、今回はこのSRE Lounge #17のイベントレポートをお送りします。また記事の最後に、8/3(土)〜4(日)に開催されるSRE NEXT 2024でのアンドパッドブースも軽くご紹介します。 SRE Lounge #17のアーカイブ配信 アンドパッドのスポンサーセッション Xで頂いた質問 各セッションの紹介と感想 飲店のインフラサービス “ダイニー” のトラブル対応のすべて(dinii, inc. 唐澤さん) WAFでどのリクエストがBlockされたのか、ログを集計してSlackで簡単に見れるようにし

    SRE Lounge #17 イベントレポートと SRE NEXT 2024 アンドパッドブースのご案内 - ANDPAD Tech Blog
  • ESLintがセグフォする件を調査していたら、Node.jsにコントリビュートしていた話 - CARTA TECH BLOG

    はじめに こんにちは、サポーターズでエンジニアをしている@y_chu5です。 記事では、当初ESLintのバグと思われていた問題が、実はNode.jsのバグであることが判明し、その修正に至るまでの過程をご紹介します。この体験を通じて得られた知見は、小中規模なプロジェクトのデバッグ手法として参考になるかもしれません。 まず、この問題の発見と初期調査において、VOICEVOXコミュニティのコミュニティサーバーの方々の多大なる貢献があったことを深く感謝申し上げます。彼ら彼女らの綿密な調査と報告がなければ、今回の問題解決には至らなかったと考えています。 問題との出会い 私の所属している VOICEVOX(テキスト読み上げ・歌声合成ソフトウェア)のコミュニティである 「VOICEVOX Communty by Discord」の開発雑談チャンネルで、とても気になるIssueについての話題が挙がって

    ESLintがセグフォする件を調査していたら、Node.jsにコントリビュートしていた話 - CARTA TECH BLOG
  • Ruby パーサ Prism を活用して便利 Gem を作成してみた - ROUTE06 Tech Blog

    こんにちは。ソフトウェアエンジニアの sasamuku です。 Prism は、エラートレラント性や移植性を考慮して設計された新しい Ruby パーサです1。先日リリースされた Ruby 3.4 で、Ruby のデフォルトパーサとして採用されたことが発表されました2。 ROUTE06 が開発している Liam では、以前から Ruby コードのパースに Prism を活用しています3。パーサと聞くと「専門知識が必要なんじゃ」「自分には難しい」と感じるかもしれません。私自身もそうでしたが、実際に開発で利用してみると、そこまで複雑ではなく、使いこなせると非常に便利な技術であることが分かりました。 記事では、前半で Prism の基的な使い方を、後半では、カジュアルな Prism 活用例として、個人開発の Gem を作成した事例をご紹介します。普段の開発現場やちょっとした個人ツールの作成など

    Ruby パーサ Prism を活用して便利 Gem を作成してみた - ROUTE06 Tech Blog
  • ssh-keygenしたときに出るあのキラキラの正体

    LabBaseテックカレンダー Advent Calendar 2024の12月17日分のアドベントカレンダーです。 このブログのゴール ssh-keygenしたときに出るあのキラキラの正体を突き止めて、Rustで完全再現する⭐️ 再現するだけにとどまらずオエー鳥AAで似たようなものを作る🐦‍⬛ コードはここ 出典 http://www.dirk-loss.de/sshvis/drunken_bishop.pdf https://github.com/lfam/randomart あのキラキラの正体 randomartって言う テキストベースのフィンガープリントだと人間が誤って確認するリスクがあるから、視覚的な差分が取りやすいようにAAとして可視化している アルゴリズム 公開鍵ファイルの解析 公開鍵の中身はこうなっている ssh-ed25519 AAAAC3NzaC1lZDI1NTE5A

    ssh-keygenしたときに出るあのキラキラの正体
  • Brian Douglas' Tech Blog - Sensible SQLite defaults

    SQLite is cool now. DHH uses it, Laravel defaults to it. Here is a list of sensible defaults when using sqlite. The whys? PRAGMA journal_mode = WAL; Why?: Allows concurrent reads and writes, making it more suitable for web applications with multiple users accessing the database simultaneously. PRAGMA synchronous = NORMAL; Why?: Balances performance and data safety by ensuring that data is written

    Brian Douglas' Tech Blog - Sensible SQLite defaults
  • JavaScriptの基礎と向き合う - iimon TECH BLOG

    よろしくお願いします!エンジニアのideです。 こちらはアドベントカレンダー23日目の記事になります! 今年は体のあちこちに痛みを感じることが多かったので、来年こそは健康に過ごせる一年になるといいなと願っています。 さて、今回は今年やってきたことを振り返るのもいい機会だなと思い、特に触れる機会が多かったJavaScriptについてまとめてみることにしました。 結果的に基的な内容が中心となりましたが、改めて理解を深める良いきっかけになったので、これはこれでよしとしています! それではよろしくお願いいたします! [目次] テンプレート文字列 スプレッド構文 分割代入 プロパティ名の短縮記法 オプショナルチェーン nullish(??)とfalsy(||)チェック ディープコピー アロー関数 Promise async/await forEachの中でasync/awaitが効かない おわりに

    JavaScriptの基礎と向き合う - iimon TECH BLOG
  • SmartHR における、ESLint v9 と Flat Config への移行事例 - SmartHR Tech Blog

    記事は、SmartHR Advent Calendar 2024 シリーズ 1 の 19 日目の記事です。 こんにちは。SmartHR プロダクトエンジニアの sasaki (@s_sasaki_0529) です。 この記事では、SmartHR が共通で利用する ESLint の設定パッケージを、ESLint v9 と Flat Config に対応させた取り組みを紹介します。 ESLint とは ESLint は、JavaScriptTypeScript を中心に幅広く使われている静的解析ツール(Linter)です。コード品質やスタイルの不整合を自動検出し、大規模なプロジェクトや、多様なフレームワークにも柔軟に対応できる豊富なプラグイン・ルールセットを備えています。 近年は Oxc や Biome (旧 Rome) など新しい選択肢も増えていますが、ESLint は高いカスタマイ

    SmartHR における、ESLint v9 と Flat Config への移行事例 - SmartHR Tech Blog
  • JavaScript配列の重複削除でつまづかない!Set・Mapを徹底比較(Lodash・Ramdaも少し紹介) - iimon TECH BLOG

    こんにちは!株式会社iimonでエンジニアをしている、Javascript勉強中の「まるお」です。 記事はアドベントカレンダー20日目の記事になります! 📚 記事の内容 filter・Set・Mapを使った重複削除の方法 オブジェクト配列の重複削除の実装方法 パフォーマンス比較と便利なライブラリの紹介 📚 記事の内容 はじめに 🔍 1. 重複削除したい!配列メソッドの課題… 🚀 filter() と indexOf() を使った重複削除 ❗️ 問題点 🛠 2. Set による重複削除 ✅ Setの特徴 ✨ シンプルな重複削除の例 ⚠️ 注意点: オブジェクトや配列の扱い 実務で重複削除したかったコード 💡 3. Map による重複削除 ✨ 実務で重複削除したかったコード キーが重複した場合の挙動 🚀 4. 大規模データでのパフォーマンス比較 📈 結果の比較 🔧 5.

    JavaScript配列の重複削除でつまづかない!Set・Mapを徹底比較(Lodash・Ramdaも少し紹介) - iimon TECH BLOG
  • 並行・並列、そしてAsync - ANDPAD Tech Blog

    この記事はANDPAD Advent Calendar 2024の17日目の記事になります。(投稿予定の12/17前後で風邪を引いてしまい投稿が遅れてしまいました。🙇‍♀️) @youchanです。実は今年の8月にアンドパッドに入社していました。アンドパッドではインフラコストを削減するための施策を行なうチームに配属しています。 アンドパッドでは建設業のDXを実現するサービスを提供しています。建設の現場では多くの写真が取り扱われます。膨大な写真データはインフラコストに響くので削除できるものは削除したいところです。実際にサムネイル画像も保存されていたりして削減可能なものがたくさんあります。 私の最近のミッションはこの不要なファイルを削除するということなのですが、ファイル数が膨大なためいくつかの工夫が必要なのでそれについて記事にしたいと思います。 また稿では、課題を通して並行・並列処理につい

    並行・並列、そしてAsync - ANDPAD Tech Blog
  • ローカル(仮想環境)にBINDをたててdigコマンドを投げてみた - iimon TECH BLOG

    はじめに 環境構築編 インストール 設定 設定の反映 確認編 digコマンドの実行 終わりに はじめに 株式会社iimonの木暮です。 今回は実際に構築した権威サーバへクエリを送ってレスポンスを確認するところまで行います。 今回の記事は、調べれば類似の記事がたくさん見つかるような内容ですが、「百聞は一見に如かず」という言葉を胸に、自分でしっかり手を動かしながら学んだことをまとめました。 記事では、実際に調べたことや手を動かして試したプロセスを、順を追って整理しています。また、情報をまとめる際に参考にした素晴らしい記事を執筆された方々には心から感謝いたします。この場を借りて御礼申し上げます。 記事はiimonアドベントカレンダー7日目の記事となります。 環境構築編 仮想環境にBINDをインストールしていきます。 記事での操作は、以下の環境を前提としています 機種:MacBook Pro

    ローカル(仮想環境)にBINDをたててdigコマンドを投げてみた - iimon TECH BLOG
  • FPGAを始めるときの壁 - Ryuz's tech blog

    FPGAをはじめてみたい 「FPGAという何やら面白いものがあるらしくて、使うとすごい計算やいろいろなデバイス制御ができるらしい。」 と、興味を持って頂ける方はそれなりにいらっしゃるのではないでしょうか? 早速なんらかのHDLなる言語を勉強し、例えば SystemVerilog を少し勉強すれば下記のようなプログラムを書くことが出来ます。 入力ポート a,b から入ってくるデータをクロックサイクル毎に加算してc に出力するロジックのソースです。 module add ( input logic reset, input logic clk, input logic [31:0] a, input logic [31:0] b, output logic [31:0] c ); always_ff @( posedge clk ) begin if ( reset ) begin c <=

    FPGAを始めるときの壁 - Ryuz's tech blog
  • 権限基盤の難しさ - SmartHR Tech Blog

    こんにちは!権限基盤ユニット所属の@bmf-sanです。今年の6月に入社してから早くも6ヶ月目を迎えました。 権限基盤ユニットは、SmartHRにおける各プロダクトの権限を集約し、労務・タレントマネジメント領域における要求に柔軟に対応できるような権限基盤の構築・運用を行うチームです。 権限基盤ユニットでは、マルチプロダクト戦略における権限の課題をスマートに解決していこうと日々奮闘しています。 私は入社して以来、権限の難しさにずっと頭を悩ませてきました。権限の難しさに頭を悩ませているのはきっと自分だけではないはずです……! そこで、権限に関わるメンバーが権限にどのような難しさを感じているのかを次の質問を通して明らかにしてみたいと思いました。 「好きなおにぎりの具は何ですか?」 「権限基盤の難しいことは何ですか?またその理由を教えて下さい」 この記事では、権限の基盤の難しいところについて、メン

    権限基盤の難しさ - SmartHR Tech Blog
  • Golang と Erlang

    2024-10-20 (日) に Kyoto.go remote #54 リモートLT会 で発表したスライドです。

    Golang と Erlang
  • 最新のWasm事情

    Niigata5分Tech #13 1周年記念 https://niigata-5min-tech.connpass.com/event/332786/

    最新のWasm事情
  • Stripeでサブスクを実装したが途中で法人から月末締め翌月末払いをお願いされることが多くなった時に読む記事

    こんにちは、タイトルでだいたい言い尽くしましたが、このような悩みを自分および自分の周りでよく聞くようになったので、Stripeの方に教えてもらいながらどうすればいいか整理しました。 あくまで「めちゃくちゃ開発コストをかけない範囲で」の解決策なので多少トリッキーですがお付き合いください。あるいは、この記事を読んで「そろそろちゃんとコストかけて作りこむか」と決めていただいてもいいと思います。基的にStripeの実装経験がある方向けの記事です。 どういう状況か さて、状況を具体的にするために具体例を挙げていきましょう。 1アカウントあたり月額3000円のSaaSを運営している。 当初はクレジットカードのみ、利用開始日に決済が走る標準の課金体系で進めていた サービスが成長し、法人の取引が増えて「請求書払いいけますか?」と聞かれることが増えた。 ここでいう請求書払いとは、10/1開始で契約したとし

    Stripeでサブスクを実装したが途中で法人から月末締め翌月末払いをお願いされることが多くなった時に読む記事
  • フロッピーディスクはどのようにしてコピーを防いでいたか?

    フロッピーディスクは1980年頃からパーソナルコンピュータ用の磁気ディスクとして広く普及し、2000年代にCDやDVDなどの光ディスクドライブが標準となるまで使われた記録媒体です。扱えるデータ量も数10KB~数MB程度と小さく、光ディスクのように複雑なコピーガードを組み込むことは難しいのですが、それでも存在していたフロッピーディスクのコピーガードの仕組みについて、技術系ブロガーのGloriousCow氏が解説しています。 PC Floppy Copy Protection: Formaster Copy-Lock https://martypc.blogspot.com/2024/08/pc-floppy-copy-protection-formaster.html PC Floppy Copy Protection: Softguard Superlok https://martypc.

    フロッピーディスクはどのようにしてコピーを防いでいたか?
  • 医薬品検索でMySQLの全文検索機能を使った話 - KAKEHASHI Tech Blog

    AI在庫管理の開発チームでバックエンドエンジニアをしている沖です。今回は、AI在庫管理の医薬品検索において、MySQLの全文検索機能を使った話を紹介しようと思います。 この記事は秋の技術特集 2024の 8 記事目です。 今までの医薬品検索では満足できないユーザーがいた なぜMySQLの全文検索機能を採用したのか 全文検索機能を導入する 全文検索インデックスを付与したテーブルを作成する パーサー 照合順序と正規化 全文検索インデックスを使用して検索する データを最適な状態に保つために おわりに 今までの医薬品検索では満足できないユーザーがいた AI在庫管理には、医薬品の在庫一覧画面など、医薬品名で絞り込む画面がたくさんあります。この絞り込み機能を実現するために、これまではSQLのLIKE検索を利用していました。 LIKE検索は、使い慣れたSQLを用いて部分一致検索を実現できる便利な方法です

    医薬品検索でMySQLの全文検索機能を使った話 - KAKEHASHI Tech Blog
  • 多段 ssh するなら ProxyCommand じゃなくて ProxyJump を使おう

    概要 AWS とかで踏み台ホスト経由(ここでは AWS っぽく bastion と呼ぶ)で ssh する必要があるなら ~/.ssh/config は↓みたいにしとくのが良いんじゃないかな? Host bastion Hostname bastionのIPアドレス User bastionのユーザ名 # ↓は規定のファイルだったり ssh-agent 使ってれば不要 IdentityFile bastion接続用の秘密鍵ファイル名 # ↓の3つはWindowsでは使えないので諦めて ControlMaster auto ControlPath ~/.ssh/cp-%r@%h:%p ControlPersist 10m Host 好きな接続先名 Hostname 接続先のIPアドレス User 接続先のユーザ名 # ↓は規定のファイルだったり ssh-agent 使ってれば不要 Identi

    多段 ssh するなら ProxyCommand じゃなくて ProxyJump を使おう